Chameleon

Chameleon Svn Source Tree

Root/branches/xZenu/src/util/doxygen/src/vhdlcode.cpp

Source at commit 1322 created 12 years 8 months ago.
By meklort, Add doxygen to utils folder
1
2#line 3 "<stdout>"
3
4#define YY_INT_ALIGNED short int
5
6/* A lexical scanner generated by flex */
7
8#define yy_create_buffer vhdlcodeYY_create_buffer
9#define yy_delete_buffer vhdlcodeYY_delete_buffer
10#define yy_flex_debug vhdlcodeYY_flex_debug
11#define yy_init_buffer vhdlcodeYY_init_buffer
12#define yy_flush_buffer vhdlcodeYY_flush_buffer
13#define yy_load_buffer_state vhdlcodeYY_load_buffer_state
14#define yy_switch_to_buffer vhdlcodeYY_switch_to_buffer
15#define yyin vhdlcodeYYin
16#define yyleng vhdlcodeYYleng
17#define yylex vhdlcodeYYlex
18#define yylineno vhdlcodeYYlineno
19#define yyout vhdlcodeYYout
20#define yyrestart vhdlcodeYYrestart
21#define yytext vhdlcodeYYtext
22#define yywrap vhdlcodeYYwrap
23#define yyalloc vhdlcodeYYalloc
24#define yyrealloc vhdlcodeYYrealloc
25#define yyfree vhdlcodeYYfree
26
27#define FLEX_SCANNER
28#define YY_FLEX_MAJOR_VERSION 2
29#define YY_FLEX_MINOR_VERSION 5
30#define YY_FLEX_SUBMINOR_VERSION 35
31#if YY_FLEX_SUBMINOR_VERSION > 0
32#define FLEX_BETA
33#endif
34
35/* First, we deal with platform-specific or compiler-specific issues. */
36
37/* begin standard C headers. */
38#include <stdio.h>
39#include <string.h>
40#include <errno.h>
41#include <stdlib.h>
42
43/* end standard C headers. */
44
45/* flex integer type definitions */
46
47#ifndef FLEXINT_H
48#define FLEXINT_H
49
50/* C99 systems have <inttypes.h>. Non-C99 systems may or may not. */
51
52#if defined (__STDC_VERSION__) && __STDC_VERSION__ >= 199901L
53
54/* C99 says to define __STDC_LIMIT_MACROS before including stdint.h,
55 * if you want the limit (max/min) macros for int types.
56 */
57#ifndef __STDC_LIMIT_MACROS
58#define __STDC_LIMIT_MACROS 1
59#endif
60
61#include <inttypes.h>
62typedef int8_t flex_int8_t;
63typedef uint8_t flex_uint8_t;
64typedef int16_t flex_int16_t;
65typedef uint16_t flex_uint16_t;
66typedef int32_t flex_int32_t;
67typedef uint32_t flex_uint32_t;
68#else
69typedef signed char flex_int8_t;
70typedef short int flex_int16_t;
71typedef int flex_int32_t;
72typedef unsigned char flex_uint8_t;
73typedef unsigned short int flex_uint16_t;
74typedef unsigned int flex_uint32_t;
75#endif /* ! C99 */
76
77/* Limits of integral types. */
78#ifndef INT8_MIN
79#define INT8_MIN (-128)
80#endif
81#ifndef INT16_MIN
82#define INT16_MIN (-32767-1)
83#endif
84#ifndef INT32_MIN
85#define INT32_MIN (-2147483647-1)
86#endif
87#ifndef INT8_MAX
88#define INT8_MAX (127)
89#endif
90#ifndef INT16_MAX
91#define INT16_MAX (32767)
92#endif
93#ifndef INT32_MAX
94#define INT32_MAX (2147483647)
95#endif
96#ifndef UINT8_MAX
97#define UINT8_MAX (255U)
98#endif
99#ifndef UINT16_MAX
100#define UINT16_MAX (65535U)
101#endif
102#ifndef UINT32_MAX
103#define UINT32_MAX (4294967295U)
104#endif
105
106#endif /* ! FLEXINT_H */
107
108#ifdef __cplusplus
109
110/* The "const" storage-class-modifier is valid. */
111#define YY_USE_CONST
112
113#else/* ! __cplusplus */
114
115/* C99 requires __STDC__ to be defined as 1. */
116#if defined (__STDC__)
117
118#define YY_USE_CONST
119
120#endif/* defined (__STDC__) */
121#endif/* ! __cplusplus */
122
123#ifdef YY_USE_CONST
124#define yyconst const
125#else
126#define yyconst
127#endif
128
129/* Returned upon end-of-file. */
130#define YY_NULL 0
131
132/* Promotes a possibly negative, possibly signed char to an unsigned
133 * integer for use as an array index. If the signed char is negative,
134 * we want to instead treat it as an 8-bit unsigned char, hence the
135 * double cast.
136 */
137#define YY_SC_TO_UI(c) ((unsigned int) (unsigned char) c)
138
139/* Enter a start condition. This macro really ought to take a parameter,
140 * but we do it the disgusting crufty way forced on us by the ()-less
141 * definition of BEGIN.
142 */
143#define BEGIN (yy_start) = 1 + 2 *
144
145/* Translate the current start state into a value that can be later handed
146 * to BEGIN to return to the state. The YYSTATE alias is for lex
147 * compatibility.
148 */
149#define YY_START (((yy_start) - 1) / 2)
150#define YYSTATE YY_START
151
152/* Action number for EOF rule of a given start state. */
153#define YY_STATE_EOF(state) (YY_END_OF_BUFFER + state + 1)
154
155/* Special action meaning "start processing a new file". */
156#define YY_NEW_FILE vhdlcodeYYrestart(vhdlcodeYYin )
157
158#define YY_END_OF_BUFFER_CHAR 0
159
160/* Size of default input buffer. */
161#ifndef YY_BUF_SIZE
162#define YY_BUF_SIZE 262144
163#endif
164
165/* The state buf must be large enough to hold one state per character in the main buffer.
166 */
167#define YY_STATE_BUF_SIZE ((YY_BUF_SIZE + 2) * sizeof(yy_state_type))
168
169#ifndef YY_TYPEDEF_YY_BUFFER_STATE
170#define YY_TYPEDEF_YY_BUFFER_STATE
171typedef struct yy_buffer_state *YY_BUFFER_STATE;
172#endif
173
174#ifndef YY_TYPEDEF_YY_SIZE_T
175#define YY_TYPEDEF_YY_SIZE_T
176typedef size_t yy_size_t;
177#endif
178
179extern yy_size_t vhdlcodeYYleng;
180
181extern FILE *vhdlcodeYYin, *vhdlcodeYYout;
182
183#define EOB_ACT_CONTINUE_SCAN 0
184#define EOB_ACT_END_OF_FILE 1
185#define EOB_ACT_LAST_MATCH 2
186
187 #define YY_LESS_LINENO(n)
188
189/* Return all but the first "n" matched characters back to the input stream. */
190#define yyless(n) \
191do \
192{ \
193/* Undo effects of setting up vhdlcodeYYtext. */ \
194 int yyless_macro_arg = (n); \
195 YY_LESS_LINENO(yyless_macro_arg);\
196*yy_cp = (yy_hold_char); \
197YY_RESTORE_YY_MORE_OFFSET \
198(yy_c_buf_p) = yy_cp = yy_bp + yyless_macro_arg - YY_MORE_ADJ; \
199YY_DO_BEFORE_ACTION; /* set up vhdlcodeYYtext again */ \
200} \
201while ( 0 )
202
203#define unput(c) yyunput( c, (yytext_ptr) )
204
205#ifndef YY_STRUCT_YY_BUFFER_STATE
206#define YY_STRUCT_YY_BUFFER_STATE
207struct yy_buffer_state
208{
209FILE *yy_input_file;
210
211char *yy_ch_buf;/* input buffer */
212char *yy_buf_pos;/* current position in input buffer */
213
214/* Size of input buffer in bytes, not including room for EOB
215 * characters.
216 */
217yy_size_t yy_buf_size;
218
219/* Number of characters read into yy_ch_buf, not including EOB
220 * characters.
221 */
222yy_size_t yy_n_chars;
223
224/* Whether we "own" the buffer - i.e., we know we created it,
225 * and can realloc() it to grow it, and should free() it to
226 * delete it.
227 */
228int yy_is_our_buffer;
229
230/* Whether this is an "interactive" input source; if so, and
231 * if we're using stdio for input, then we want to use getc()
232 * instead of fread(), to make sure we stop fetching input after
233 * each newline.
234 */
235int yy_is_interactive;
236
237/* Whether we're considered to be at the beginning of a line.
238 * If so, '^' rules will be active on the next match, otherwise
239 * not.
240 */
241int yy_at_bol;
242
243 int yy_bs_lineno; /**< The line count. */
244 int yy_bs_column; /**< The column count. */
245
246/* Whether to try to fill the input buffer when we reach the
247 * end of it.
248 */
249int yy_fill_buffer;
250
251int yy_buffer_status;
252
253#define YY_BUFFER_NEW 0
254#define YY_BUFFER_NORMAL 1
255/* When an EOF's been seen but there's still some text to process
256 * then we mark the buffer as YY_EOF_PENDING, to indicate that we
257 * shouldn't try reading from the input source any more. We might
258 * still have a bunch of tokens to match, though, because of
259 * possible backing-up.
260 *
261 * When we actually see the EOF, we change the status to "new"
262 * (via vhdlcodeYYrestart()), so that the user can continue scanning by
263 * just pointing vhdlcodeYYin at a new input file.
264 */
265#define YY_BUFFER_EOF_PENDING 2
266
267};
268#endif /* !YY_STRUCT_YY_BUFFER_STATE */
269
270/* Stack of input buffers. */
271static size_t yy_buffer_stack_top = 0; /**< index of top of stack. */
272static size_t yy_buffer_stack_max = 0; /**< capacity of stack. */
273static YY_BUFFER_STATE * yy_buffer_stack = 0; /**< Stack as an array. */
274
275/* We provide macros for accessing buffer states in case in the
276 * future we want to put the buffer states in a more general
277 * "scanner state".
278 *
279 * Returns the top of the stack, or NULL.
280 */
281#define YY_CURRENT_BUFFER ( (yy_buffer_stack) \
282 ? (yy_buffer_stack)[(yy_buffer_stack_top)] \
283 : NULL)
284
285/* Same as previous macro, but useful when we know that the buffer stack is not
286 * NULL or when we need an lvalue. For internal use only.
287 */
288#define YY_CURRENT_BUFFER_LVALUE (yy_buffer_stack)[(yy_buffer_stack_top)]
289
290/* yy_hold_char holds the character lost when vhdlcodeYYtext is formed. */
291static char yy_hold_char;
292static yy_size_t yy_n_chars;/* number of characters read into yy_ch_buf */
293yy_size_t vhdlcodeYYleng;
294
295/* Points to current character in buffer. */
296static char *yy_c_buf_p = (char *) 0;
297static int yy_init = 0;/* whether we need to initialize */
298static int yy_start = 0;/* start state number */
299
300/* Flag which is used to allow vhdlcodeYYwrap()'s to do buffer switches
301 * instead of setting up a fresh vhdlcodeYYin. A bit of a hack ...
302 */
303static int yy_did_buffer_switch_on_eof;
304
305void vhdlcodeYYrestart (FILE *input_file );
306void vhdlcodeYY_switch_to_buffer (YY_BUFFER_STATE new_buffer );
307YY_BUFFER_STATE vhdlcodeYY_create_buffer (FILE *file,int size );
308void vhdlcodeYY_delete_buffer (YY_BUFFER_STATE b );
309void vhdlcodeYY_flush_buffer (YY_BUFFER_STATE b );
310void vhdlcodeYYpush_buffer_state (YY_BUFFER_STATE new_buffer );
311void vhdlcodeYYpop_buffer_state (void );
312
313static void vhdlcodeYYensure_buffer_stack (void );
314static void vhdlcodeYY_load_buffer_state (void );
315static void vhdlcodeYY_init_buffer (YY_BUFFER_STATE b,FILE *file );
316
317#define YY_FLUSH_BUFFER vhdlcodeYY_flush_buffer(YY_CURRENT_BUFFER )
318
319YY_BUFFER_STATE vhdlcodeYY_scan_buffer (char *base,yy_size_t size );
320YY_BUFFER_STATE vhdlcodeYY_scan_string (yyconst char *yy_str );
321YY_BUFFER_STATE vhdlcodeYY_scan_bytes (yyconst char *bytes,yy_size_t len );
322
323void *vhdlcodeYYalloc (yy_size_t );
324void *vhdlcodeYYrealloc (void *,yy_size_t );
325void vhdlcodeYYfree (void * );
326
327#define yy_new_buffer vhdlcodeYY_create_buffer
328
329#define yy_set_interactive(is_interactive) \
330{ \
331if ( ! YY_CURRENT_BUFFER ){ \
332 vhdlcodeYYensure_buffer_stack (); \
333YY_CURRENT_BUFFER_LVALUE = \
334 vhdlcodeYY_create_buffer(vhdlcodeYYin,YY_BUF_SIZE ); \
335} \
336YY_CURRENT_BUFFER_LVALUE->yy_is_interactive = is_interactive; \
337}
338
339#define yy_set_bol(at_bol) \
340{ \
341if ( ! YY_CURRENT_BUFFER ){\
342 vhdlcodeYYensure_buffer_stack (); \
343YY_CURRENT_BUFFER_LVALUE = \
344 vhdlcodeYY_create_buffer(vhdlcodeYYin,YY_BUF_SIZE ); \
345} \
346YY_CURRENT_BUFFER_LVALUE->yy_at_bol = at_bol; \
347}
348
349#define YY_AT_BOL() (YY_CURRENT_BUFFER_LVALUE->yy_at_bol)
350
351/* Begin user sect3 */
352
353#define vhdlcodeYYwrap(n) 1
354#define YY_SKIP_YYWRAP
355
356typedef unsigned char YY_CHAR;
357
358FILE *vhdlcodeYYin = (FILE *) 0, *vhdlcodeYYout = (FILE *) 0;
359
360typedef int yy_state_type;
361
362extern int vhdlcodeYYlineno;
363
364int vhdlcodeYYlineno = 1;
365
366extern char *vhdlcodeYYtext;
367#define yytext_ptr vhdlcodeYYtext
368
369static yy_state_type yy_get_previous_state (void );
370static yy_state_type yy_try_NUL_trans (yy_state_type current_state );
371static int yy_get_next_buffer (void );
372static void yy_fatal_error (yyconst char msg[] );
373
374/* Done after the current pattern has been matched and before the
375 * corresponding action - sets up vhdlcodeYYtext.
376 */
377#define YY_DO_BEFORE_ACTION \
378(yytext_ptr) = yy_bp; \
379vhdlcodeYYleng = (size_t) (yy_cp - yy_bp); \
380(yy_hold_char) = *yy_cp; \
381*yy_cp = '\0'; \
382(yy_c_buf_p) = yy_cp;
383
384#define YY_NUM_RULES 55
385#define YY_END_OF_BUFFER 56
386/* This struct is not used in this scanner,
387 but its presence is necessary. */
388struct yy_trans_info
389{
390flex_int32_t yy_verify;
391flex_int32_t yy_nxt;
392};
393static yyconst flex_int16_t yy_accept[2913] =
394 { 0,
395 0, 0, 0, 0, 13, 13, 0, 0, 21, 21,
396 31, 31, 28, 28, 0, 0, 0, 0, 0, 0,
397 0, 0, 3, 3, 0, 0, 56, 1, 1, 51,
398 1, 52, 52, 51, 52, 52, 48, 52, 52, 48,
399 48, 49, 48, 48, 48, 49, 48, 45, 49, 48,
400 48, 48, 44, 44, 44, 44, 44, 44, 44, 44,
401 44, 44, 44, 44, 48, 44, 48, 48, 52, 52,
402 44, 44, 44, 44, 44, 44, 44, 44, 44, 44,
403 44, 52, 13, 8, 52, 14, 13, 15, 16, 52,
404 14, 14, 14, 14, 13, 14, 52, 52, 52, 6,
405
406 21, 22, 21, 52, 21, 18, 19, 20, 23, 26,
407 26, 26, 31, 31, 31, 31, 28, 28, 28, 29,
408 30, 28, 17, 3, 3, 4, 2, 5, 4, 0,
409 0, 0, 0, 54, 0, 0, 0, 0, 0, 0,
410 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
411 48, 0, 0, 0, 50, 0, 0, 0, 44, 44,
412 48, 0, 0, 0, 0, 45, 45, 45, 48, 48,
413 48, 0, 0, 0, 44, 0, 44, 44, 44, 44,
414 44, 44, 44, 44, 43, 43, 43, 44, 44, 44,
415 44, 44, 44, 0, 0, 0, 0, 0, 0, 0,
416
417 0, 0, 0, 0, 0, 0, 0, 0, 44, 44,
418 44, 44, 44, 44, 44, 44, 44, 44, 44, 44,
419 44, 44, 0, 0, 13, 0, 13, 0, 0, 0,
420 0, 0, 7, 14, 14, 13, 9, 14, 14, 14,
421 14, 13, 0, 14, 6, 21, 21, 20, 0, 0,
422 0, 27, 0, 23, 23, 26, 26, 26, 31, 31,
423 31, 31, 31, 31, 28, 28, 28, 28, 28, 28,
424 17, 3, 3, 53, 54, 0, 0, 0, 0, 0,
425 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
426 0, 0, 0, 50, 0, 0, 0, 0, 44, 44,
427
428 0, 45, 45, 0, 0, 0, 0, 44, 44, 44,
429 43, 44, 43, 44, 44, 44, 43, 44, 44, 44,
430 44, 0, 0, 0, 0, 0, 0, 0, 0, 0,
431 0, 0, 0, 0, 0, 0, 44, 44, 44, 44,
432 44, 44, 44, 44, 44, 44, 44, 44, 44, 44,
433 44, 0, 0, 0, 0, 7, 14, 9, 14, 14,
434 14, 0, 14, 0, 0, 23, 23, 26, 26, 31,
435 31, 28, 28, 53, 0, 0, 0, 0, 0, 0,
436 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
437 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
438
439 44, 0, 0, 0, 0, 0, 0, 0, 44, 43,
440 43, 0, 0, 44, 44, 43, 43, 44, 44, 44,
441 44, 0, 0, 0, 0, 0, 0, 0, 0, 0,
442 0, 0, 0, 0, 0, 0, 0, 44, 44, 44,
443 44, 44, 44, 44, 44, 44, 44, 44, 44, 44,
444 39, 39, 44, 0, 0, 0, 0, 14, 14, 0,
445 0, 14, 0, 14, 0, 0, 26, 26, 31, 28,
446 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
447 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
448 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
449
450 0, 0, 44, 0, 0, 0, 0, 0, 0, 0,
451 0, 0, 0, 43, 0, 0, 43, 44, 0, 0,
452 44, 43, 44, 0, 0, 0, 0, 0, 0, 0,
453 0, 0, 0, 0, 0, 0, 47, 0, 44, 44,
454 38, 44, 44, 44, 44, 44, 44, 44, 44, 44,
455 0, 0, 0, 0, 44, 0, 10, 0, 0, 0,
456 0, 0, 10, 0, 0, 0, 0, 12, 0, 0,
457 26, 0, 24, 0, 0, 0, 0, 0, 0, 0,
458 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
459 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
460
461 0, 0, 0, 0, 0, 0, 0, 0, 44, 0,
462 0, 0, 0, 0, 0, 44, 44, 0, 0, 43,
463 44, 0, 0, 0, 38, 0, 0, 0, 0, 0,
464 0, 0, 0, 0, 0, 44, 44, 38, 44, 44,
465 44, 44, 44, 44, 44, 44, 44, 0, 0, 0,
466 0, 44, 0, 0, 0, 0, 0, 7, 0, 0,
467 0, 0, 0, 0, 0, 12, 12, 0, 26, 0,
468 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
469 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
470 0, 0, 0, 0, 0, 0, 0, 0, 0, 44,
471
472 0, 0, 0, 0, 0, 44, 44, 0, 0, 44,
473 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
474 47, 0, 0, 44, 44, 44, 44, 42, 42, 44,
475 44, 44, 44, 44, 0, 0, 0, 0, 0, 0,
476 44, 0, 0, 0, 0, 0, 0, 0, 0, 0,
477 0, 7, 0, 0, 0, 0, 0, 11, 0, 0,
478 26, 0, 0, 0, 0, 0, 0, 0, 0, 0,
479 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
480 0, 0, 0, 0, 0, 0, 0, 0, 0, 44,
481 0, 0, 0, 0, 0, 43, 0, 0, 44, 0,
482
483 0, 0, 0, 0, 0, 0, 0, 47, 0, 44,
484 44, 44, 44, 44, 39, 39, 42, 44, 0, 0,
485 0, 0, 0, 0, 44, 0, 0, 0, 0, 11,
486 0, 0, 0, 11, 0, 0, 0, 0, 25, 36,
487 36, 36, 0, 36, 36, 36, 36, 0, 0, 0,
488 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
489 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
490 0, 0, 0, 0, 0, 36, 36, 36, 36, 36,
491 36, 0, 36, 0, 0, 0, 32, 0, 0, 0,
492 0, 0, 47, 0, 0, 0, 44, 44, 44, 0,
493
494 0, 0, 44, 42, 42, 0, 44, 0, 0, 0,
495 0, 0, 0, 0, 0, 0, 11, 0, 0, 0,
496 11, 0, 11, 36, 36, 36, 36, 36, 36, 36,
497 36, 36, 36, 0, 0, 0, 0, 0, 0, 0,
498 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
499 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
500 0, 0, 0, 0, 0, 36, 36, 36, 36, 36,
501 36, 36, 36, 36, 36, 36, 36, 36, 36, 36,
502 0, 0, 0, 47, 0, 0, 0, 44, 0, 0,
503 0, 0, 0, 0, 41, 0, 0, 44, 0, 0,
504
505 0, 0, 46, 0, 0, 46, 0, 0, 11, 0,
506 11, 0, 0, 36, 36, 0, 0, 0, 0, 0,
507 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
508 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
509 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
510 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
511 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
512 0, 0, 0, 0, 0, 36, 36, 36, 36, 37,
513 37, 0, 0, 44, 33, 33, 0, 0, 0, 0,
514 0, 0, 0, 0, 0, 46, 0, 0, 46, 46,
515
516 46, 46, 0, 0, 0, 0, 0, 0, 0, 0,
517 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
518 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
519 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
520 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
521 0, 0, 0, 0, 0, 44, 33, 33, 0, 0,
522 0, 0, 0, 0, 46, 46, 46, 46, 46, 46,
523 46, 0, 0, 0, 0, 0, 0, 0, 0, 0,
524 0, 0, 0, 0, 0, 0, 32, 0, 0, 0,
525 32, 0, 0, 0, 0, 0, 0, 0, 0, 0,
526
527 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
528 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
529 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
530 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
531 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
532 35, 35, 46, 0, 46, 0, 46, 46, 46, 46,
533 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
534 46, 46, 0, 0, 0, 0, 11, 0, 0, 0,
535 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
536 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
537
538 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
539 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
540 0, 0, 0, 0, 0, 0, 0, 0, 0, 35,
541 35, 0, 0, 46, 46, 46, 46, 46, 46, 46,
542 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
543 46, 46, 46, 46, 46, 0, 0, 11, 0, 0,
544 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
545 0, 0, 0, 0, 0, 32, 0, 0, 0, 32,
546 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
547 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
548
549 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
550 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
551 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
552 0, 46, 46, 46, 46, 46, 46, 46, 46, 46,
553 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
554 46, 46, 46, 46, 46, 0, 0, 0, 0, 0,
555 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
556 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
557 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
558 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
559
560 0, 0, 0, 0, 0, 0, 0, 46, 46, 46,
561 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
562 46, 46, 46, 46, 46, 46, 46, 46, 46, 0,
563 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
564 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
565 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
566 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
567 0, 0, 0, 0, 0, 0, 0, 40, 40, 46,
568 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
569 46, 46, 46, 46, 46, 46, 46, 46, 46, 0,
570
571 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
572 0, 0, 0, 0, 0, 0, 0, 0, 32, 0,
573 0, 0, 0, 0, 0, 0, 32, 0, 0, 0,
574 0, 32, 0, 0, 0, 0, 0, 0, 0, 0,
575 0, 0, 0, 0, 0, 0, 32, 0, 0, 0,
576 40, 40, 40, 40, 40, 46, 46, 46, 46, 46,
577 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
578 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
579 46, 46, 46, 0, 0, 0, 0, 0, 0, 0,
580 0, 0, 0, 0, 0, 0, 0, 0, 32, 0,
581
582 0, 0, 32, 32, 0, 0, 0, 0, 0, 0,
583 32, 0, 0, 0, 0, 34, 34, 40, 40, 40,
584 40, 40, 40, 40, 46, 46, 46, 46, 46, 46,
585 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
586 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
587 46, 46, 46, 46, 46, 46, 0, 0, 0, 0,
588 0, 0, 0, 0, 0, 0, 0, 0, 0, 32,
589 0, 0, 0, 32, 0, 0, 0, 0, 0, 32,
590 34, 34, 40, 40, 40, 40, 40, 40, 40, 40,
591 40, 40, 40, 40, 40, 40, 40, 40, 46, 46,
592
593 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
594 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
595 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
596 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
597 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
598 46, 46, 46, 46, 46, 46, 0, 0, 0, 40,
599 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
600 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
601 40, 46, 46, 46, 46, 46, 46, 46, 46, 46,
602 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
603
604 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
605 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
606 46, 46, 46, 46, 46, 46, 46, 46, 0, 0,
607 0, 0, 32, 0, 0, 0, 32, 40, 40, 40,
608 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
609 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
610 40, 46, 46, 46, 46, 46, 46, 46, 46, 46,
611 46, 32, 46, 46, 46, 32, 46, 46, 46, 46,
612 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
613 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
614
615 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
616 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
617 46, 46, 46, 46, 46, 46, 0, 40, 40, 40,
618 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
619 40, 40, 40, 40, 40, 40, 40, 40, 40, 46,
620 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
621 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
622 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
623 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
624 46, 46, 46, 46, 46, 46, 46, 46, 0, 40,
625
626 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
627 40, 40, 40, 40, 40, 40, 40, 40, 40, 46,
628 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
629 46, 46, 46, 46, 32, 46, 46, 32, 46, 46,
630 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
631 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
632 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
633 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
634 46, 46, 0, 40, 40, 40, 40, 40, 40, 40,
635 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
636
637 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
638 40, 46, 46, 46, 46, 46, 46, 46, 46, 46,
639 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
640 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
641 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
642 46, 46, 46, 46, 46, 46, 0, 40, 40, 40,
643 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
644 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
645 40, 40, 40, 40, 40, 40, 40, 40, 40, 46,
646 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
647
648 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
649 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
650 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
651 46, 0, 40, 40, 40, 40, 40, 40, 40, 40,
652 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
653 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
654 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
655 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
656 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
657 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
658
659 46, 46, 46, 46, 46, 46, 46, 32, 46, 46,
660 46, 46, 46, 46, 46, 32, 46, 46, 46, 46,
661 32, 46, 46, 46, 46, 46, 46, 46, 46, 46,
662 46, 46, 46, 46, 32, 0, 40, 40, 40, 40,
663 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
664 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
665 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
666 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
667 40, 40, 40, 46, 46, 46, 46, 46, 46, 46,
668 46, 46, 46, 46, 46, 46, 46, 32, 46, 46,
669
670 46, 32, 32, 46, 46, 46, 46, 46, 46, 32,
671 46, 46, 46, 46, 47, 40, 40, 40, 40, 40,
672 40, 40, 40, 40, 40, 32, 40, 40, 40, 32,
673 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
674 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
675 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
676 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
677 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
678 46, 46, 46, 46, 46, 46, 46, 46, 46, 46,
679 46, 32, 46, 46, 32, 46, 46, 46, 46, 32,
680
681 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
682 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
683 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
684 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
685 40, 40, 40, 40, 40, 40, 40, 40, 40, 46,
686 46, 40, 40, 40, 40, 40, 40, 40, 40, 40,
687 40, 40, 40, 40, 40, 40, 32, 40, 40, 32,
688 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
689 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
690 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
691
692 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
693 40, 40, 40, 40, 46, 46, 32, 46, 46, 32,
694 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
695 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
696 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
697 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
698 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
699 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
700 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
701 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
702
703 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
704 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
705 40, 40, 40, 40, 32, 40, 40, 40, 40, 40,
706 40, 40, 32, 40, 40, 40, 40, 32, 40, 40,
707 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
708 40, 32, 40, 40, 40, 40, 40, 40, 40, 40,
709 40, 40, 40, 40, 40, 40, 32, 40, 40, 40,
710 32, 32, 40, 40, 40, 40, 40, 40, 32, 40,
711 40, 40, 40, 40, 40, 40, 40, 40, 40, 40,
712 40, 40, 40, 40, 32, 40, 40, 32, 40, 40,
713
714 40, 40, 32, 40, 40, 40, 40, 32, 40, 40,
715 32, 0
716 } ;
717
718static yyconst flex_int32_t yy_ec[256] =
719 { 0,
720 1, 1, 1, 1, 1, 1, 1, 1, 2, 3,
721 1, 1, 4, 1, 1, 1, 1, 1, 1, 1,
722 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
723 1, 5, 6, 7, 8, 9, 10, 11, 12, 13,
724 14, 15, 16, 17, 18, 19, 20, 21, 21, 21,
725 21, 21, 21, 21, 21, 21, 21, 22, 23, 24,
726 25, 26, 1, 1, 27, 28, 29, 30, 31, 32,
727 33, 34, 35, 36, 37, 38, 39, 40, 41, 42,
728 36, 43, 44, 45, 46, 47, 48, 36, 49, 36,
729 1, 1, 1, 50, 51, 1, 52, 53, 54, 55,
730
731 56, 57, 58, 59, 60, 36, 61, 62, 63, 64,
732 65, 66, 36, 67, 68, 69, 70, 71, 72, 36,
733 73, 36, 1, 74, 1, 75, 1, 1, 1, 1,
734 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
735 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
736 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
737 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
738 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
739 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
740 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
741
742 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
743 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
744 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
745 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
746 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
747 1, 1, 1, 1, 1
748 } ;
749
750static yyconst flex_int32_t yy_meta[76] =
751 { 0,
752 1, 2, 3, 4, 5, 1, 6, 7, 1, 1,
753 8, 8, 9, 10, 11, 12, 13, 14, 15, 11,
754 16, 17, 18, 11, 11, 11, 19, 19, 19, 19,
755 20, 19, 21, 22, 22, 22, 22, 22, 22, 22,
756 22, 21, 22, 22, 22, 22, 22, 22, 22, 1,
757 19, 19, 19, 19, 19, 20, 19, 21, 22, 22,
758 22, 22, 22, 22, 22, 21, 22, 22, 22, 22,
759 22, 22, 22, 1, 1
760 } ;
761
762static yyconst flex_int16_t yy_base[3046] =
763 { 0,
764 0, 4, 22, 96, 167, 98, 242, 317, 392, 0,
765 113, 267, 142, 273, 102, 277, 291, 295, 342, 346,
766 467, 542, 352, 369, 492, 496, 864,31091, 104, 112,
767 838, 66, 616, 567, 572, 681, 246, 747, 71, 471,
768 507, 91, 556, 561, 562, 638, 566, 821, 570, 582,
769 604, 625, 886, 911, 473, 464, 240, 622, 665, 462,
770 623, 604, 625, 318, 630, 651, 670, 97, 977, 517,
771 668, 90, 735, 734, 739, 751, 792, 795, 463, 663,
772 736, 98, 1048, 1122, 297, 1178, 319, 322, 325, 328,
773 332, 0, 237, 477, 714, 478,31091, 371, 836, 0,
774
775 944, 592, 954, 829, 828,31091,31091, 809, 0, 0,
776 557, 243, 0, 597, 657, 796, 0, 659, 722,31091,
777 31091, 792, 0, 0, 723, 766,31091,31091,31091, 810,
778 773, 829, 755, 0, 609, 877, 918, 668, 936, 961,
779 1252, 1277, 960, 1083, 1087, 1109, 679, 1203, 733, 1208,
780 31091, 739, 966, 1073, 1078, 1333, 1244, 1408, 0, 740,
781 31091, 1153, 1159, 1310, 735, 730, 1482, 91,31091, 695,
782 690, 1213, 1228, 1238, 1538, 1563, 1628, 764, 827, 962,
783 570, 1063, 1065, 944, 642, 670, 734, 1095, 876, 1242,
784 1260, 976, 1244, 1683, 1356, 1320, 829, 1263, 1317, 1168,
785
786 1072, 1339, 1393, 1234, 1318, 1340, 1430, 1438, 1415, 1392,
787 1414, 1425, 1461, 1398, 872, 1464, 1323, 1463, 1341, 1466,
788 836, 1516, 753, 853, 1754, 1828, 886, 1079, 805, 1497,
789 1449, 650, 0, 1884, 1959, 1188, 0, 0, 910, 1233,
790 921, 1521, 1275, 1335, 0, 1568, 1513, 626, 1344, 949,
791 632,31091, 623, 603, 575, 0, 1044, 1050, 0, 1573,
792 575, 1579, 571, 1454, 0, 1585, 566, 1591, 564, 1,
793 0, 0, 1593, 0, 0, 1361, 1558, 1634, 1658, 1494,
794 1585, 1557, 1614, 1669, 1789, 1784, 1663, 1984, 1988, 1779,
795 1813, 1864, 2023,31091, 1796, 1869, 1615, 2087, 0, 1409,
796
797 1915, 571, 570, 1993, 2076, 2069, 2111, 2177, 2228, 1496,
798 2027, 1662, 1513, 1551, 1666, 1647, 1571, 1778, 1650, 1685,
799 1882, 2107, 1885, 2008, 1893, 2012, 2077, 1890, 1827, 1896,
800 2071, 1989, 1993, 2089, 1584, 2108, 2026, 2110, 1897, 2134,
801 2142, 2152, 2160, 2178, 1804, 2182, 2209, 2206, 1652, 2264,
802 2225, 1765, 1415, 1484, 1766,31091, 2320, 0, 1782, 8,
803 1806, 1854, 1880, 1540, 1747, 530, 516, 2030, 1812, 2047,
804 2146, 931, 1156, 0, 2090, 1888, 2129, 2227, 2226, 2285,
805 2351, 2355, 2360, 2364, 2383, 2228, 2446, 2341, 505, 2423,
806 2428, 2440, 497, 2450, 2515, 2521, 2427, 2495, 2306, 2587,
807
808 2165, 2609, 2615, 2540, 2622, 2563, 2641, 2626, 2262, 2186,
809 2208, 2647, 2673, 2246, 2350, 2245, 2247, 2547, 2428, 2248,
810 2381, 2359, 2662, 2591, 2441, 2465, 2443, 2524, 2382, 2623,
811 2663, 2449, 2482, 2641, 2261, 2699, 2677, 2685, 2691, 2692,
812 2694, 2697, 2698, 2707, 2709, 2731, 2320, 2733, 2348, 2516,
813 2766, 2770, 2750, 2805, 2530, 2547, 2809, 2832, 2857, 2861,
814 2865, 1163, 2580, 1954, 2597, 2078, 2100, 2814, 2202, 1729,
815 2604, 2233, 2748, 2869, 2675, 2873, 1940, 2881, 2886, 2906,
816 2927, 2931, 2963, 2920, 2917, 0, 0, 2985, 2994, 3002,
817 2678, 2307, 3019, 3024, 3042, 2726, 2357, 2763, 2998, 3016,
818
819 3007, 2769, 2747, 3064, 3075, 3094, 3098, 3120, 3101, 3144,
820 3167, 3171, 3052, 2861, 2396, 3068, 2865, 3127, 2952, 3189,
821 2961, 2867, 2870, 3076, 2978, 3053, 3157, 3159, 3150, 3151,
822 3171, 3174, 3191, 2871, 3198, 3186, 2882, 3210, 3200, 3218,
823 3124, 3208, 3224, 3226, 3230, 3083, 3227, 3237, 3232, 3242,
824 2491, 2522, 3298, 3302, 3281, 3312,31091, 1318, 1322, 3323,
825 3327, 3384, 467, 2562, 3458, 3349, 2647, 385, 2838, 3365,
826 2942, 3409,31091, 2975, 3033, 3287, 3292, 3413, 3425, 3421,
827 3434, 3451, 3476, 3459, 3408, 3501, 3524, 3528, 3535, 3545,
828 3552, 3556, 2993, 2996, 3577, 3594, 3602, 3611, 3621, 3631,
829
830 3653, 3051, 3061, 3316, 3610, 3617, 3288, 3441, 3077, 3688,
831 3696, 3700, 3675, 3112, 3718, 3388, 3611, 3151, 3722, 3167,
832 3466, 3222, 3697, 3715, 3282, 3544, 3415, 3513, 3653, 3629,
833 3714, 3720, 3717, 3736, 3729, 3265, 3520, 3791, 3309, 3750,
834 3795, 3721, 3663, 3389, 3772, 3446, 3451, 3768, 3139, 3807,
835 3817, 3792, 3832, 3855, 3861, 3871, 3940, 3877, 4002, 3481,
836 3536, 3581, 3533, 3650, 3670, 375,31091, 3745, 3762, 3690,
837 3784, 3796, 3841, 3886, 3902, 3917, 3929, 3948, 3929, 3985,
838 4029, 4035, 4053, 4060, 4064, 3793, 3720, 4084, 4088, 4092,
839 4109, 4114, 3812, 3772, 4041, 3811, 4087, 3923, 3869, 3848,
840
841 3952, 4159, 4110, 3873, 4167, 3872, 4177, 3859, 4181, 4005,
842 4091, 3880, 4095, 3883, 3928, 4189, 4093, 4156, 3930, 4168,
843 3934, 3945, 4157, 4144, 4166, 4170, 4171, 4239, 4246, 4199,
844 4254, 4262, 3992, 4014, 3980, 4018, 4068, 4267, 4272, 4276,
845 4099, 4297, 4303, 4311, 4319, 4335, 4343, 4347, 4369, 4352,
846 4392, 4377, 4426, 4102, 4091, 4099, 4164,31091, 4166, 4208,
847 4407, 4448, 4192, 4452, 4460, 4402, 4464, 4477, 4485, 4502,
848 4469, 4519, 4526, 4535, 4544, 4552, 4230, 4494, 4561, 4569,
849 4578, 4587, 4245, 4595, 4578, 4579, 4636, 4416, 4653, 4611,
850 4648, 4669, 4635, 4261, 4686, 4272, 4693, 4707, 4719, 4332,
851
852 4636, 4304, 4669, 4494, 4731, 4741, 4579, 4334, 4346, 4685,
853 4360, 4695, 4390, 4796, 4754, 4763, 4791, 4391, 4254, 4450,
854 4298, 4786, 4802, 4826, 4571, 4830, 4660, 4837, 4852, 4779,
855 4862, 4373, 4463,31091, 4511, 4467, 4874, 4886,31091, 4775,
856 4904, 4908, 4563, 4920, 4926, 4930, 4941, 4953, 4957, 4962,
857 4803, 4974, 4984, 4991, 4996, 5016, 5057, 5067, 5062, 5084,
858 4541, 5108, 5132, 5136, 5140, 5157, 5182, 5186, 4543, 5205,
859 5179, 4939, 5221, 5231, 5248, 5161, 5041, 5273, 5289, 5225,
860 5296, 5267, 5317, 4579, 5321, 5254,31091, 5329, 5334, 4827,
861 4628, 4959, 4629, 5388, 4696, 4730, 5022, 4717, 5365, 5350,
862
863 5359, 5413, 5434, 5420, 5429, 5459, 5523, 4635, 4716, 4666,
864 5465, 5397, 5473, 4798, 5486, 5481, 5382, 5530, 5494, 4808,
865 31091, 4688,31091, 5554, 5560, 97, 5566, 5572, 5578, 5585,
866 5590, 5597, 5611, 5618, 5623, 5627, 5644, 5650, 5609, 4822,
867 5637, 5669, 5702, 5709, 5728, 4844, 4866, 5732, 5737, 5754,
868 5763, 4865, 5729, 5711, 5789, 5799, 5806, 5810, 5856, 5042,
869 5877, 5897, 5903, 5923, 5929, 5831, 5881, 355, 5944, 5970,
870 2215, 5994, 6001, 6007, 6013, 6019, 6036, 6040, 6057, 6061,
871 4779, 6081, 5100, 4870, 6085, 6148, 6173, 5060, 6105, 6110,
872 6117, 6198, 6132, 6223,31091, 6227, 6239, 6260, 4805, 6182,
873
874 4884, 4835, 0, 6252, 6266, 6336, 4876, 6295, 6287, 6361,
875 6291, 4908, 4956, 366, 6300, 6367, 6371, 6375, 6397, 6416,
876 4954, 5063, 6442, 6448, 5317, 6465, 4908, 5139, 6422, 6483,
877 6491, 6514, 6525, 6532, 5212, 4969, 6536, 6557, 6582, 6601,
878 6605, 6623, 5441, 6627, 5804, 6670, 6674, 6692, 296, 5020,
879 5653, 6696, 6715, 5021, 293, 5066, 5789, 5811, 5273, 0,
880 6741, 6719, 6761, 6784, 6447, 292, 5070, 5911, 6788, 6806,
881 5511, 6810, 6829, 6856, 6875, 6392, 6879, 6897, 6944,31091,
882 6541, 5541, 7009, 5093, 0, 7034, 6321, 6609, 6648, 6921,
883 7059, 5102, 6948, 4972, 4990, 0, 6956, 6963, 6833, 7085,
884
885 0, 7160, 5116, 6977, 6971, 5041, 5039, 6901, 7234, 7238,
886 7242, 7259, 5121, 5937, 5857, 5717, 7264, 5124, 6015, 6036,
887 5873, 6765, 7285, 6986, 7308, 7329, 7042, 7110, 7336, 7355,
888 6396, 7366, 6037, 6115, 5162, 5181, 7373, 6041, 6526, 5209,
889 5272, 7383, 7393, 5251, 5219, 7400, 6593, 6659, 5252, 5247,
890 7441, 7451, 7473, 7468, 7538, 6204, 0, 7593, 5325, 7115,
891 5434, 5319, 7545, 7460, 0, 7619, 7122, 7694, 7659, 7719,
892 7723, 5413, 7576, 7663, 5516, 5578, 7517, 6740, 6952, 5587,
893 6165, 6789, 7090, 5609, 6446, 7139,31091, 7753, 7787, 7145,
894 7127, 7794, 7813, 7830, 7409, 7819, 7246, 7836, 7862, 7879,
895
896 7493, 7896, 7287, 7906, 7913, 7947, 7964, 7981, 7998, 8016,
897 5625, 6635, 5514, 5568, 8033, 8065, 8075, 8082, 8092, 8124,
898 8134, 5645, 7305, 7227, 7601, 8160, 8182, 5597, 5648, 8192,
899 8202, 8228, 8234, 8251, 8270, 8277, 5665, 7458, 5660, 5676,
900 8318, 8311, 8328, 8360, 8425, 7569, 7954, 7065, 7580, 7988,
901 0, 8450, 0, 5680, 8476, 8099, 8402, 5710, 5742, 8501,
902 8566, 8506, 288, 8571, 8576, 6807, 286, 8583, 8588, 8653,
903 8718, 8648, 5755, 7417, 7651, 7151,31091, 8394, 5666, 7499,
904 7616, 8057, 5714, 7520, 7703, 8167, 8406, 8510, 7984, 8658,
905 8726, 8736, 8783, 8793, 7718, 8801, 5749, 5771, 8810, 8851,
906
907 8868, 7876, 8875, 6109, 8885, 8937, 8894, 8956, 8963, 5769,
908 5781, 9006, 9013, 9040, 9047, 9081, 7898, 9064, 5822, 5824,
909 9088, 9130, 9140, 9147, 9199, 7931, 7676, 9264, 7778, 0,
910 9289, 5836, 8730, 9314, 9379, 9444, 5988, 5872, 8918, 9222,
911 9509, 9574, 5928, 5879, 8260, 5929, 5917, 5957, 5990, 8336,
912 5969, 5992, 9319, 9216, 9336, 286, 7854, 8302, 8343, 9324,
913 8087, 9355, 6876, 9397, 9401, 9422, 8089, 9466, 7288, 9486,
914 9551, 9639, 9528, 9157, 9555, 8385, 9621, 9633, 9656, 8418,
915 9704, 9708, 9725, 9751, 9731, 7716, 9783, 9800, 9775, 8122,
916 6032, 8458, 9849, 9832, 8186, 9867, 9884, 9890, 6468, 8386,
917
918 9932, 9936, 9954, 9031, 9958, 6061, 9114,10001,10027,10033,
919 10045, 8485,10077,10103, 9330, 8500, 6075, 9532,10120,10126,
920 10146, 9681,10168,10172, 8759, 7799, 8817,10191,10255, 6082,
921 10213, 6082, 6124, 6155,10264,10281, 6093, 6095, 8665,10298,
922 10303, 8670, 6119, 6205, 6213, 6244, 8694,10339,10349, 8979,
923 6267, 6266,10371,10375,10414, 6204, 8983, 8989, 9226, 8498,
924 10381,10446,10450, 6769, 8505, 8567,10458,10469, 9857, 6900,
925 8583,10515,10534,10551,10556, 7711, 6254, 8584, 6326, 6346,
926 9979, 6361,10583,10603, 8417, 9596, 6417,10625,10629,10635,
927 6356,10023,10671,10703,10707,10713, 8506, 7243, 6372,10248,
928
929 10754,10786,10790, 6516, 8805, 6397, 9909, 6501, 6541, 6510,
930 10795,10812, 6565, 6523, 9825,10832, 9256, 6589, 6525, 6627,
931 6533,10053,10857, 9477, 6653, 6570,10874,10886,10905, 6641,
932 8509, 6678, 8654, 9645, 7722, 8649, 8719,10241, 7820,10944,
933 10961,10971,11012,11030,11044,11062,11053, 6645, 7932,11095,
934 6702, 6671,11119,11129,11136,11178,11201,11162,11219,11235,
935 11252,11267, 6722,11284,11301,11311,11352,11370,11384,11402,
936 11393,11435, 6793,10979, 8209, 8041, 9298, 0,11500, 6695,
937 6811, 6802,11525,10967, 6824, 8294,10526, 9714, 6842, 9072,
938 6873, 9105,10800,11141, 6926, 9374,11529,11534,11568, 7040,
939
940 11598, 7040, 8326,11603,11607, 8659,11638,11615,11662,11680,
941 11684,11703,11749, 9504,11761,11776,11783,10881, 6832,10286,
942 9578,11817,11795,11827,11861,11334, 6882, 9808,11884,11896,
943 10490, 6953,10560,11918,11928,11954,11977,11995,12000,12042,
944 12060,11260,11244,12077,12083,10538, 6961,10237,11211,11460,
945 0,11358,12149, 0,12224, 6970, 7208,10608,12298,12065,
946 12302,12367, 6972,12320,12371,12143,11970,12310, 6980,11482,
947 7013,12389,12436,12440,12346,12414, 7016,11695,12482,12462,
948 12546,12621,12696, 7259,10730,12770,12774,12786,12458,12792,
949 12851,12857,11902,12874,12869,12934,12999,10867,31091,12941,
950
951 12953,12528, 7290,31091,10922,12976,13064,13018,13041,11379,
952 7293,13083,13076,13141,13206, 0,13271, 0,13297,11470,
953 13372,13158,13164,13228, 7217, 7299,13327,13397,13463,13223,
954 7328,13402, 7335, 7340,12522,11852, 7380, 7434, 7438, 7464,
955 12573,12004, 7471,13488,13496, 0, 0,13551,13556,13563,
956 13574,13568,13640,13666,13689,13684, 7473,11623,13725,13757,
957 12604,13761,13783,12985,13803,13826,13830,13849,13872,13853,
958 13876,13895,13899,13918,13941,13945,13964,13968,13987,13991,
959 0,14056,13406, 7352, 7388,14037,14102,14081, 256,14107,
960 14124, 7618, 255,14159,14176,14241,14306,14167, 7501, 7509,
961
962 14235,14246,14314,14326,14346,14088, 7602, 7545, 9399, 7604,
963 14224,10694, 7696,13153,14391,14395,10897, 7764,10948, 7802,
964 13331,14413,14417,10953,14033,14202,14460,14464,14482, 143,
965 14486,14505,14531,14551,14557, 141,14563,14583,14628,14609,
966 14632,14651,14655,14697,14701, 8317, 101, 7794, 7848,14720,
967 14724,14742,14746,14789,14793,14834, 7872,14900,14965,15030,
968 15095,15160, 8716, 7858,14814,14878,15225,15290, 7891, 7912,
969 12109, 7956, 7963, 7977, 8000,12175, 7996, 8049,14918,14959,
970 14990,11036, 8047,15024,15035,15076,15102,15167,15202,15179,
971 14882, 8091, 8070, 8119, 8134,11801,14860,12184,13240,13233,
972
973 12200,12306,13422,15127,15245,15267,15309,15335, 8172, 8178,
974 15356,15367,15361,15379,15436,15446,15456, 8210, 8206,15488,
975 8219, 8244, 8246, 8293,15515,15532,15538,15558, 8309,15584,
976 15108,15605,15610,15627,15631,15654,15676, 8325, 8295, 8365,
977 15696,15701, 8375, 8475,12505,15736,15743,12595, 8482, 8482,
978 8513, 8540,12646,15762,15779,12654, 8579, 8651,15769,15787,
979 15828, 8495,15846,15850,15867,15873, 8673, 8684, 8572, 8697,
980 12590, 0,15917,15943,13087, 0,15949,15961,15984,12663,
981 12863,12671,16018,16030,16036,12945,13922,16050,16095,16105,
982 16115,16122,16127,16172,16192,16198, 8713, 8750,16224,16242,
983
984 16268,16274,16291,16309,16350,16360,16367,16386,16409,16443,
985 8778, 8807,16453,16475,16487,16519,16545,16555, 8714, 8816,
986 8841, 8840,16577,16589,16621,16647, 8849, 8854, 8713, 8859,
987 14926,16664, 8807, 8863,13808,14336,13198, 8844, 8868, 8898,
988 8900,14013,14509,13336, 8915, 8908,16668,16673,16712, 8915,
989 16732,16742,16749,16768, 8976, 8956, 8961,11153,13439,14184,
990 16791,16825,16835,16846,16857,16904,16915,16922, 8982, 9012,
991 16926,16972,16991,16998,17041,17058,17048,17092,17118,17135,
992 17141, 9032, 9056,17161,17167,17193,17210,17236, 9059,11908,
993 9066, 9059,17242,17253,17294,17320,17330,17337, 9085, 9100,
994
995 9108, 9108,17363,17259, 9124,11186,15966,14318, 9125,11490,
996 9128,11494,16147,14371, 9140,11591,17405,17409,17439, 9144,
997 17444,17449,17471,17514,17518,17536, 9142,13414, 9152,17540,
998 17583,17587,17605,17652,13506,17656,17673,14114,17496,17679,
999 17699,17722,17744,17765,17788,17814,17831,17857, 9168,13633,
1000 17883,17863,17915,17941,17951,17958,18008,18025,18030,18042,
1001 18099,18109,18116, 9179,13672,18121,18186,18190,18197, 9179,
1002 18231,18257,18274, 9223, 9263,14438,18300,18306,18323,18349,
1003 18372,18390, 9267, 9221, 9279,11688,18416,18450,18457,18522,
1004 9221,18499,18540,18544,15071,15000, 9250,14766, 9327,18566,
1005
1006 18609,18589,15285,16418, 9331,14948,18631,18635,18702,18777,
1007 18852,11707,18926,18930,18937,18948,19005,19015, 9354,19022,
1008 19072,19089, 9366, 9370,19115,19121,19156,19173,19191, 9371,
1009 19214,19225, 9382,15413, 9402,19257,19274,19292,19315,19326,
1010 19349,19367,19372, 9396,15468,19393,19437,19442,19459, 9422,
1011 9289, 9423,16008,19486,19463,19469, 9439, 9388, 9429,19528,
1012 19545,19611,18142, 9466,19553, 9472, 9493,15719,14574, 9494,
1013 9512, 9518, 9535,16495,16062, 9556,19636,19644, 0, 0,
1014 19699,19704,19711,19722,19716,19788,19814,19837,19832,19873,
1015 9577,19883,19915,19919, 9577, 9581,11768, 9450,19941,19961,
1016
1017 19987,19993,20010,20036,20062, 9508,20079,20144, 9591, 9611,
1018 20137,20148,20189,20171,20215,20280,20238,20257,20345, 9626,
1019 20322,20357,20367,20379,20389,20436,16334,14211, 9628,20454,
1020 20519, 9623, 9623, 9638,20472,20477,20523,20545,20564,18696,
1021 9640, 9659, 9757, 9673,19534,12027, 9679,16300,20605,20615,
1022 12134, 9681,12212, 9709,16326,20622,20672,12216,20104,20689,
1023 20694,20698,20721, 93,20744,20763,20780,20790,20812, 2,
1024 20831,20863,20880,20890,20897,20947,20957,20967,20979, 9866,
1025 1, 9707, 9730,21014,21024,21036,21056,21101,21105,21122,
1026 21172, 9766,21128,21237,16479, 9782,16250,21194,21214,21271,
1027
1028 21264,21281,12834,21346,21323,21340,21358,21381,16565,13024,
1029 21392,21415,21427,21449,21461,21484,21549,21507,21526,21530,
1030 0,16947,21575,21595,21618,21641,21553,21660,21664,21149,
1031 17015,21683,21687,21706, 0, 9800,12446, 9799,21710,21729,
1032 21733,21752,21756,21798,21802,19909, 9709, 9814, 9833, 9860,
1033 13354,21821,14676,17219,17610,15112,15173,17302,18482,21868,
1034 21825,21876,21902, 9763, 9863,21908,21944,21949,21991,21985,
1035 21995,22037, 9887, 9903,22060, 9912, 9912, 9914, 9923,22072,
1036 22082,22104,22139,15580,22207,22161,22173,22219,16776,22241,
1037 22253,22276,22298,22318,22344,22409,15924, 0,22386,22390,
1038
1039 22413,22363, 0,16204,22431,22496,22478,22500,22522, 0,
1040 22545,22565,22591,22656,31091, 9951,22635,22661,22678,22713,
1041 9931, 9934, 9958, 9952,16523, 0,22735,22747,17066, 0,
1042 22770,22782,22804,16217,16800,16867,22816,22839,22851,16612,
1043 17630,22873,22883,22917,22928,22940,22960,23005,23009,23027,
1044 9969, 9972,23031,23050,23076,23096,23102,23108,23167,23173,
1045 23177,23199,23243,23248, 9982,10001,23265,23292,23324,23334,
1046 23341,23360,10021,10027,10033,10031,23401,23406,23411,23458,
1047 16882,23477,23481,23499,23546,23550,23567,23572,23616,23637,
1048 23643,23660,23686,23703,23709,23720,23730,23752,23787,23799,
1049
1050 10035,23804,23809,23869,23880,10057,10057,10072,12540,17024,
1051 17769,23886,23916,23890,23957,23961,23993,24004,24036,10061,
1052 10087,24040,24063,24082,24108,24114,24134,24140,24160,24183,
1053 24209,24226,10081,10088,24230,24252,24295,24301,24321,10091,
1054 17341,10096,10118,24327,24344,24371,24393,24415,24437,24502,
1055 24567,10127,24459,24544,24561,24587,24610,24633,10139,17561,
1056 10148,24653,24675,24679,24702,24721,17837,24744,24748,17908,
1057 21600,24725,24770,24790,24822,24839,24874,24891,24917,24940,
1058 10160,17932,24958,24992,25018,24999,25041,25064,25083,25087,
1059 25129,25152,25163,25170,25220,10164,17962,25237,25241,25263,
1060
1061 25307,10163,25312,25329,24796,10173,10194,18090,25339,25380,
1062 25398,25421,25447,25464,25470,25491,25535,25541,25558,25562,
1063 12578,25584,25607,25627,25649,25676,25659,10176,25717,25734,
1064 25724,10263,10267,25791,25744,25801,25812,25823,10271,25858,
1065 25869,10274,18208,10286,25890,25901,25942,25947,25974,26015,
1066 26019,26025,10279,18280,26061,26093,26097,26103,10293,10284,
1067 10295,18394,26168,26172,26178,26213,10306,26245,26256,26288,
1068 10317,10335,12686,10324,26305,26322,26332,26373,26391,26414,
1069 26440,10326,26457,26522,10334,10328,26515,26526,26567,26549,
1070 26593,26658,26616,26635,26723,10367,26700,26735,26745,26757,
1071
1072 26767,26814,18677,18066,10372,26832,26897,26850,10356,26901,
1073 26966,18728,10367,19041,26923,26943,27000,26993,27010,17278,
1074 27075,27052,27069,27087,27110,18767,17731,27121,27144,27156,
1075 27178,27190,27213,27278,27236,27255,27259, 0,19026,27304,
1076 27324,27347,27370,27282,27389,27393,23594,19047,27412,27416,
1077 27435, 0,17792,27500,27458,27481,27493,19099,27527,27550,
1078 24278,27568,27572,27615,27680,17983, 0,27637,27658,27684,
1079 27702, 0,18051,27725,27790,27767,27771,27794, 0,27812,
1080 27816,27859,27924,18461,27881,27902,27928,27946,27993,27950,
1081 27997,28015,28019,28062,28066,28084,28088,28107,28133,28111,
1082
1083 28176,28180,28153,28245,28310,28199,28203,28222,28226,28291,
1084 28303,31091,28376,28398,28420,28442,28464,28486,28508,28529,
1085 28550,28572,28576,28597,28618,28640,28661,28676,28694,28715,
1086 28736,28752,28757,28779,28801,28818,28840,28862,28883,28904,
1087 28925,28946,28968,28990,28997,29013,29032,29053,29073,29090,
1088 29112,29134,29152,29173,29194,29210,29215,29237,29259,29281,
1089 29303,29320,29342,29364,29386,29407,29428,29449,29471,29493,
1090 29500,29521,29537,29556,29578,29600,29617,29639,29661,29683,
1091 29705,29726,29748,29770,29791,29813,29834,29855,29876,29897,
1092 29918,29939,29960,29981,30002,30023,30044,30065,30086,30107,
1093
1094 30129,30151,30173,30195,30212,30233,30255,30277,30299,30320,
1095 30341,30363,30384,30405,30426,30443,30464,30486,30508,30529,
1096 30550,30571,30593,30615,30636,30658,30680,30701,30722,30744,
1097 30761,30782,30804,30826,30848,30870,30892,30914,30936,30958,
1098 30980,31002,31024,31046,31068
1099 } ;
1100
1101static yyconst flex_int16_t yy_def[3046] =
1102 { 0,
1103 2913, 2913, 2912, 3, 2912, 5, 2914, 2914, 2912, 9,
1104 2915, 2915, 2916, 2916, 2917, 2917, 2917, 2917, 2917, 2917,
1105 2918, 2918, 2919, 2919, 2917, 2917, 2912, 2912, 2912, 2912,
1106 2912, 2912, 2920, 2921, 2921, 2920, 2912, 2922, 2923, 2912,
1107 2912, 2912, 2912, 2912, 2912, 2924, 2912, 2924, 2912, 2912,
1108 2912, 2912, 2925, 2925, 54, 54, 54, 54, 54, 54,
1109 54, 54, 54, 54, 2912, 54, 2912, 2912, 36, 69,
1110 54, 54, 54, 54, 54, 54, 54, 54, 54, 54,
1111 54, 2912, 2912, 83, 84, 2926, 2927, 2912, 2912, 2912,
1112 2928, 2928, 2928, 2928, 83, 2928, 2912, 2912, 2912, 2929,
1113
1114 2930, 2912, 2930, 2931, 2930, 2912, 2912, 2912, 2932, 2933,
1115 2933, 2933, 2934, 2934, 2934, 2934, 2935, 2935, 2935, 2912,
1116 2912, 2935, 2936, 2937, 2937, 2912, 2912, 2912, 2912, 2912,
1117 2912, 2912, 2912, 2938, 2912, 36, 2939, 36, 2940, 2924,
1118 2941, 2941, 142, 2939, 2940, 2940, 36, 2939, 36, 2942,
1119 2912, 2943, 2943, 2912, 2940, 2944, 2943, 2944, 2945, 2945,
1120 2912, 2912, 2924, 2924, 2946, 2946, 2924, 167, 2912, 2912,
1121 2912, 2912, 2924, 2940, 2947, 2942, 2947, 177, 177, 177,
1122 177, 177, 177, 177, 177, 177, 177, 177, 177, 177,
1123 177, 177, 177, 36, 194, 142, 142, 142, 142, 142,
1124
1125 142, 142, 142, 142, 142, 142, 194, 194, 177, 177,
1126 177, 177, 177, 177, 177, 177, 177, 177, 177, 177,
1127 177, 177, 2912, 2912, 2912, 225, 2948, 2912, 2912, 226,
1128 2912, 2949, 2950, 2951, 2951, 2948, 2952, 2950, 2950, 2950,
1129 2950, 225, 2912, 2950, 2953, 2954, 2954, 2912, 2912, 2912,
1130 2955, 2912, 2956, 2956, 2956, 2957, 2957, 2957, 2958, 2958,
1131 2958, 2958, 2958, 2959, 2960, 2960, 2960, 2960, 2960, 2961,
1132 2962, 2963, 2963, 2964, 2965, 2912, 142, 2966, 2912, 142,
1133 142, 142, 142, 2966, 2966, 2966, 2967, 2968, 2967, 2968,
1134 2967, 2967, 2967, 2912, 2969, 2912, 2969, 2970, 2971, 2971,
1135
1136 2972, 2912, 2973, 289, 2968, 289, 2967, 2974, 2974, 309,
1137 309, 309, 309, 309, 309, 309, 309, 309, 309, 309,
1138 309, 142, 142, 142, 142, 142, 142, 142, 142, 142,
1139 142, 142, 142, 142, 142, 142, 309, 309, 309, 309,
1140 309, 309, 309, 309, 309, 309, 309, 309, 309, 309,
1141 309, 2912, 2912, 2912, 2912, 2912, 2975, 2976, 2977, 2977,
1142 2977, 2912, 2977, 2912, 2912, 2912, 2956, 2957, 2957, 2978,
1143 2959, 2979, 2961, 2964, 2912, 2912, 142, 142, 142, 2966,
1144 2966, 2966, 2968, 2968, 2968, 289, 2968, 289, 2912, 2912,
1145 2912, 2968, 2912, 2912, 2912, 2967, 396, 396, 2969, 2970,
1146
1147 2971, 2972, 387, 2968, 289, 387, 289, 396, 309, 309,
1148 309, 2912, 2972, 309, 309, 309, 309, 309, 309, 309,
1149 309, 142, 142, 142, 142, 142, 142, 142, 142, 142,
1150 142, 142, 142, 142, 142, 142, 142, 309, 309, 309,
1151 309, 309, 309, 309, 309, 309, 309, 309, 309, 309,
1152 2912, 2972, 309, 2912, 2912, 2912, 2912, 2975, 2977, 2912,
1153 2912, 2977, 2912, 2977, 2912, 2912, 2957, 2957, 2978, 2979,
1154 2912, 2912, 142, 142, 142, 2966, 2966, 2966, 387, 387,
1155 387, 2968, 2968, 396, 396, 387, 387, 2968, 2968, 2980,
1156 2912, 2912, 2968, 2968, 2981, 2912, 2912, 396, 396, 396,
1157
1158 2969, 400, 2971, 2972, 387, 387, 387, 2968, 396, 387,
1159 387, 2968, 396, 309, 2912, 2972, 309, 309, 2912, 2972,
1160 309, 309, 309, 142, 142, 142, 142, 142, 142, 142,
1161 142, 142, 142, 142, 142, 142, 142, 142, 309, 309,
1162 309, 309, 309, 309, 309, 309, 309, 309, 309, 309,
1163 2912, 2912, 2972, 2972, 309, 2982, 2912, 2912, 2912, 2982,
1164 2982, 2983, 2984, 2912, 2912, 2982, 2912, 2977, 2912, 2912,
1165 2957, 2912, 2912, 2912, 2912, 142, 142, 2966, 2912, 2966,
1166 2968, 2968, 2968, 396, 396, 2968, 2968, 2980, 2980, 2912,
1167 2980, 2980, 2912, 2912, 2968, 2968, 2981, 2981, 2912, 2981,
1168
1169 2981, 2912, 2912, 396, 396, 396, 2969, 400, 2971, 2972,
1170 2968, 2968, 396, 2912, 2972, 309, 309, 2912, 2972, 309,
1171 309, 142, 142, 142, 142, 142, 142, 142, 142, 142,
1172 142, 142, 142, 142, 142, 309, 309, 2972, 309, 309,
1173 309, 309, 309, 309, 309, 309, 309, 2912, 2912, 2972,
1174 2972, 309, 2985, 2982, 2982, 2985, 2982, 2985, 2986, 2912,
1175 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2957, 2912,
1176 2912, 142, 142, 2966, 2966, 2968, 2968, 2968, 396, 396,
1177 2968, 2968, 2980, 2980, 2980, 2912, 2912, 2968, 2968, 2981,
1178 2981, 2981, 2912, 2912, 396, 396, 396, 2969, 400, 2971,
1179
1180 2972, 2968, 396, 2912, 2972, 309, 309, 2912, 2972, 309,
1181 142, 142, 142, 142, 142, 142, 142, 142, 142, 142,
1182 142, 142, 142, 309, 309, 309, 309, 2912, 2972, 309,
1183 309, 309, 309, 309, 2912, 2912, 2912, 2972, 2972, 2972,
1184 309, 2912, 2985, 657, 2985, 2985, 2985, 2985, 2985, 2985,
1185 2984, 2985, 2986, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
1186 2957, 2912, 2912, 142, 142, 2966, 2966, 2968, 2968, 2968,
1187 396, 396, 2968, 2968, 2980, 2980, 2912, 2912, 2968, 2968,
1188 2981, 2981, 2912, 2912, 396, 396, 396, 2969, 400, 2971,
1189 2972, 2968, 396, 2912, 2972, 309, 2912, 2972, 309, 142,
1190
1191 142, 142, 142, 142, 142, 142, 142, 142, 142, 309,
1192 309, 309, 309, 2987, 2912, 2972, 2972, 309, 2912, 2912,
1193 2912, 2972, 2972, 2972, 309, 2985, 2985, 2985, 2985, 2985,
1194 2985, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
1195 2912, 2912, 2912, 2912, 2972, 2912, 2912, 2968, 2968, 2968,
1196 396, 2912, 2912, 396, 2968, 2912, 2912, 2968, 2980, 2980,
1197 2912, 2912, 2968, 2912, 2912, 858, 2981, 2981, 2912, 2912,
1198 396, 396, 2988, 2988, 2989, 2969, 2969, 2969, 2969, 2912,
1199 2972, 2968, 396, 2912, 2972, 2912, 2912, 2912, 2972, 142,
1200 142, 142, 142, 2990, 142, 142, 309, 309, 309, 2991,
1201
1202 2992, 2993, 2994, 2912, 2972, 2972, 2987, 2912, 2912, 2912,
1203 2972, 2972, 2972, 2912, 2985, 2985, 2985, 2985, 2985, 2912,
1204 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
1205 2972, 2912, 2912, 2968, 2968, 2988, 2988, 2995, 396, 2912,
1206 396, 2968, 2968, 2980, 2980, 2912, 2912, 2968, 2968, 2981,
1207 2981, 2912, 396, 396, 2988, 2988, 2988, 2988, 2988, 959,
1208 2989, 2995, 961, 961, 961, 2969, 2912, 2969, 2969, 2969,
1209 2969, 2969, 2969, 2912, 2972, 2968, 2912, 2912, 396, 2968,
1210 2912, 2972, 142, 142, 142, 2996, 2990, 309, 2997, 2998,
1211 2999, 3000, 2912, 2993, 2912, 2912, 2972, 2994, 2912, 2972,
1212
1213 2912, 2912, 3001, 2972, 2972, 3002, 2912, 2985, 2985, 2985,
1214 2985, 2912, 2912, 2912, 2912, 2968, 2968, 2988, 2988, 2988,
1215 959, 959, 2995, 2995, 1024, 396, 2912, 396, 2968, 2968,
1216 3003, 3003, 2912, 3003, 2912, 2912, 2968, 2968, 3004, 3004,
1217 2912, 3004, 2912, 396, 396, 2988, 2988, 2988, 2912, 959,
1218 959, 2912, 2912, 959, 2912, 959, 959, 959, 959, 961,
1219 961, 961, 2912, 2912, 1024, 2912, 1024, 1024, 2912, 2912,
1220 961, 961, 961, 961, 961, 2912, 2912, 2912, 2968, 2912,
1221 2972, 142, 2996, 309, 3005, 3006, 2912, 2999, 2912, 2972,
1222 3000, 2912, 2972, 2912, 2912, 3001, 2972, 2972, 3001, 3002,
1223
1224 1100, 2912, 2912, 2985, 2985, 2912, 2912, 2968, 2968, 2988,
1225 2988, 2988, 959, 959, 959, 959, 2995, 1024, 1024, 1024,
1226 1024, 2912, 396, 2968, 3003, 3003, 2912, 2968, 3004, 3004,
1227 396, 3007, 959, 959, 2912, 2912, 3008, 959, 959, 959,
1228 959, 961, 961, 2912, 2912, 3009, 1024, 1024, 2912, 2912,
1229 961, 961, 961, 961, 3010, 309, 3005, 3006, 2912, 3011,
1230 2912, 2912, 2972, 2972, 1102, 3012, 1102, 3012, 1102, 1102,
1231 1102, 2912, 2985, 2985, 2912, 2912, 2968, 959, 959, 959,
1232 959, 1024, 1024, 1024, 1024, 2912, 2912, 2912, 2912, 396,
1233 2968, 2912, 2912, 2968, 3003, 3003, 2912, 2912, 2912, 2968,
1234
1235 3004, 3004, 396, 3007, 3007, 2912, 3007, 3007, 3007, 3007,
1236 959, 959, 2912, 2912, 3008, 3008, 2912, 3008, 3008, 3008,
1237 3008, 959, 959, 959, 959, 961, 961, 2912, 2912, 3009,
1238 3009, 2912, 3009, 3009, 3009, 3009, 1024, 1024, 2912, 2912,
1239 961, 961, 961, 961, 3010, 3013, 3014, 3015, 3015, 3011,
1240 3016, 3017, 3018, 2912, 3019, 2972, 1168, 1168, 1168, 1102,
1241 1168, 1102, 3018, 3018, 3018, 1168, 3018, 3018, 3018, 1102,
1242 1102, 1102, 2912, 2985, 2985, 3020, 2912, 2968, 959, 959,
1243 959, 959, 1024, 1024, 1024, 1024, 3003, 3004, 396, 3007,
1244 3007, 3007, 3007, 3007, 959, 959, 2912, 2912, 3008, 3008,
1245
1246 3008, 959, 959, 959, 2912, 2912, 959, 961, 961, 2912,
1247 2912, 3009, 3009, 3009, 3009, 3009, 1024, 1024, 2912, 2912,
1248 961, 961, 961, 2912, 2912, 961, 3021, 3022, 3015, 3016,
1249 3017, 2912, 2972, 1168, 1168, 1168, 1168, 1168, 1102, 1102,
1250 1168, 1168, 1168, 1168, 3023, 3018, 3018, 1168, 1168, 3024,
1251 3018, 3018, 1102, 1102, 1102, 2912, 3020, 2985, 3025, 2968,
1252 959, 959, 959, 2912, 2912, 959, 1024, 1024, 1024, 2912,
1253 2912, 1024, 3003, 2912, 3003, 3003, 3004, 2912, 3004, 3004,
1254 396, 3007, 3007, 3007, 3007, 959, 2912, 2912, 959, 959,
1255 2912, 2912, 3008, 3008, 959, 2912, 2912, 959, 959, 959,
1256
1257 961, 2912, 2912, 961, 961, 2912, 2912, 3009, 3009, 3009,
1258 3009, 1024, 2912, 2912, 1372, 1024, 2912, 2912, 961, 2912,
1259 2912, 961, 961, 961, 2912, 3021, 2912, 2972, 3022, 2912,
1260 2972, 1168, 1168, 1168, 1102, 1102, 1168, 1168, 3023, 3023,
1261 3023, 3023, 3018, 3018, 1168, 1168, 3024, 3024, 3024, 3024,
1262 3018, 3018, 1102, 1102, 1102, 2912, 2912, 3025, 2968, 959,
1263 2912, 2912, 959, 959, 959, 1024, 2912, 2912, 1372, 1024,
1264 1024, 3007, 3007, 3007, 3007, 959, 2912, 959, 959, 2912,
1265 2912, 2912, 3008, 3008, 959, 959, 959, 961, 961, 961,
1266 2912, 2912, 3009, 3009, 3009, 3009, 1024, 1024, 2912, 2912,
1267
1268 961, 961, 961, 2912, 2972, 2912, 2972, 1168, 1168, 1168,
1269 1102, 1102, 1168, 1168, 3023, 3023, 3023, 3018, 3018, 1168,
1270 1168, 3024, 3024, 3024, 3018, 3018, 1102, 1102, 1102, 2912,
1271 959, 2912, 959, 959, 959, 1024, 1024, 1024, 1024, 3007,
1272 3007, 3007, 3007, 2912, 3007, 3007, 959, 2912, 959, 959,
1273 2912, 2912, 3008, 3008, 2912, 3008, 3008, 959, 959, 961,
1274 961, 961, 2912, 3009, 3009, 3009, 3009, 2912, 3009, 3009,
1275 1024, 1024, 2912, 1562, 1562, 2912, 2972, 3026, 3027, 1168,
1276 1168, 1168, 1102, 1102, 1168, 1168, 3023, 3023, 3018, 3018,
1277 1168, 1168, 3024, 3024, 3018, 3018, 1102, 1102, 1102, 2912,
1278
1279 959, 2912, 959, 959, 1024, 1024, 1024, 3007, 3007, 2912,
1280 3007, 3007, 3007, 2912, 959, 2912, 2912, 959, 959, 2912,
1281 2912, 3008, 3008, 2912, 2912, 959, 959, 1562, 2912, 2912,
1282 961, 1024, 2912, 3009, 3009, 2912, 3009, 3009, 3009, 2912,
1283 2912, 1372, 2912, 2912, 2912, 961, 1024, 3028, 3028, 3029,
1284 3026, 3026, 3027, 1653, 2912, 1168, 1168, 1168, 1102, 3018,
1285 3018, 1102, 1168, 3018, 3018, 1168, 3023, 3023, 3018, 3018,
1286 1168, 3018, 3018, 1168, 3024, 3024, 3018, 3018, 1102, 1102,
1287 3030, 3030, 2912, 2912, 2912, 959, 2912, 2912, 959, 1024,
1288 2912, 2912, 1372, 3007, 3007, 3007, 3007, 2912, 2912, 2912,
1289
1290 2912, 959, 959, 2912, 2912, 3008, 3008, 2912, 2912, 961,
1291 1024, 3009, 3009, 3009, 3009, 3031, 3032, 1655, 3033, 1655,
1292 3033, 1655, 1655, 1655, 1168, 1168, 1682, 1682, 3034, 1102,
1293 3018, 1102, 1168, 1168, 3023, 3023, 3018, 3018, 1168, 1168,
1294 3024, 3024, 3018, 1102, 1102, 1682, 1682, 1682, 1682, 1682,
1295 1682, 1683, 3034, 1683, 1683, 1683, 2912, 2912, 2912, 2912,
1296 959, 2912, 2912, 1372, 3007, 3007, 3007, 2912, 3007, 3007,
1297 3008, 2912, 3008, 3008, 3009, 3009, 3009, 2912, 3009, 3009,
1298 3031, 3032, 1721, 1721, 1721, 1655, 1721, 1655, 3035, 3035,
1299 3035, 1721, 3035, 3035, 3035, 1655, 1655, 1655, 1168, 1168,
1300
1301 1682, 1682, 1682, 1682, 1682, 1753, 1753, 1753, 1662, 3018,
1302 1662, 1168, 1168, 3023, 3023, 3023, 3018, 3018, 1168, 1168,
1303 3024, 3024, 3024, 3018, 1102, 1102, 1682, 1682, 1682, 3018,
1304 1682, 1682, 3018, 3018, 1682, 3018, 1682, 1682, 1682, 1682,
1305 1683, 1683, 1683, 3018, 3018, 1753, 3018, 1753, 1753, 3018,
1306 3018, 1683, 1683, 1683, 1683, 1683, 2912, 3007, 3009, 1721,
1307 1721, 1721, 1721, 1721, 1655, 1655, 1721, 1721, 1721, 1721,
1308 3036, 3035, 3035, 1721, 1721, 3037, 3035, 3035, 1655, 1655,
1309 1655, 1168, 1168, 1682, 1682, 1682, 1682, 1682, 1682, 1682,
1310 1753, 1753, 1753, 1753, 1753, 3018, 1102, 1168, 3023, 3023,
1311
1312 3018, 1168, 3024, 3024, 1102, 3038, 1682, 1682, 3018, 3018,
1313 3039, 1682, 1682, 1682, 1682, 1683, 1683, 3018, 3018, 3040,
1314 1753, 1753, 3018, 3018, 1683, 1683, 1683, 1683, 2912, 3007,
1315 2912, 3007, 3007, 3009, 2912, 3009, 3009, 1721, 1721, 1721,
1316 1655, 1655, 1721, 1721, 3036, 3036, 3036, 3036, 3035, 3035,
1317 1721, 1721, 3037, 3037, 3037, 3037, 3035, 3035, 1655, 1655,
1318 1655, 1168, 1682, 1682, 1682, 1682, 1753, 1753, 1753, 1753,
1319 3018, 3018, 3018, 3018, 1662, 1168, 3018, 3018, 1168, 3023,
1320 3023, 3018, 3018, 3018, 1168, 3024, 3024, 1102, 3038, 3038,
1321 3038, 3038, 3038, 3038, 1682, 1682, 3018, 3018, 3039, 3039,
1322
1323 3039, 3039, 3039, 3039, 1682, 1682, 1682, 1682, 1683, 1683,
1324 3018, 3018, 3040, 3040, 3040, 3040, 3040, 3040, 1753, 1753,
1325 3018, 3018, 1683, 1683, 1683, 1683, 2912, 1721, 1721, 1721,
1326 1655, 1655, 1721, 1721, 3036, 3036, 3036, 3035, 3035, 1721,
1327 1721, 3037, 3037, 3037, 3035, 3035, 1655, 1655, 1655, 1168,
1328 1682, 1682, 1682, 1682, 1753, 1753, 1753, 1753, 3023, 3024,
1329 1102, 3038, 3038, 3038, 3038, 3038, 1682, 1682, 3018, 3018,
1330 3039, 3039, 3039, 1682, 1682, 1682, 3018, 3018, 1682, 1683,
1331 1683, 3018, 3018, 3040, 3040, 3040, 3040, 3040, 1753, 1753,
1332 3018, 3018, 1683, 1683, 1683, 3018, 3018, 1683, 2912, 1721,
1333
1334 1721, 1721, 1655, 1655, 1721, 1721, 3036, 3036, 3035, 3035,
1335 1721, 1721, 3037, 3037, 3035, 3035, 1655, 1655, 1655, 1168,
1336 1682, 1682, 1682, 3018, 3018, 1682, 1753, 1753, 1753, 3018,
1337 3018, 1753, 3023, 3023, 3023, 3024, 3024, 3024, 1102, 3038,
1338 3038, 3038, 3038, 1682, 3018, 3018, 1682, 1682, 3018, 3018,
1339 3039, 3039, 1682, 3018, 3018, 1682, 1682, 1682, 1683, 3018,
1340 3018, 1683, 1683, 3018, 3018, 3040, 3040, 3040, 3040, 1753,
1341 3018, 3018, 1753, 1753, 3018, 3018, 1683, 3018, 3018, 1683,
1342 1683, 1683, 2912, 1721, 1721, 1721, 1655, 3035, 3035, 1655,
1343 1721, 3035, 3035, 1721, 3036, 3036, 3035, 3035, 1721, 3035,
1344
1345 3035, 1721, 3037, 3037, 3035, 3035, 1655, 1655, 3041, 3041,
1346 2912, 1168, 1682, 3018, 3018, 1682, 1682, 1682, 1753, 3018,
1347 3018, 1753, 1753, 1753, 3038, 3038, 3038, 3038, 1682, 3018,
1348 1682, 1682, 3018, 3018, 3018, 3039, 3039, 1682, 1682, 1682,
1349 1683, 1683, 1683, 3018, 3018, 3040, 3040, 3040, 3040, 1753,
1350 1753, 3018, 3018, 1683, 1683, 1683, 2912, 1721, 1721, 2210,
1351 2210, 3042, 1655, 3035, 1655, 1721, 1721, 3036, 3036, 3035,
1352 3035, 1721, 1721, 3037, 3037, 3035, 1655, 1655, 2210, 2210,
1353 2210, 2210, 2210, 2210, 2211, 3042, 2211, 2211, 2211, 1682,
1354 3018, 1682, 1682, 1682, 1753, 1753, 1753, 1753, 3038, 3038,
1355
1356 3038, 3038, 3038, 3038, 1682, 3018, 1682, 1682, 3018, 3018,
1357 3039, 3039, 3039, 3039, 1682, 1682, 1683, 1683, 1683, 3018,
1358 3040, 3040, 3040, 3040, 3040, 3040, 1753, 1753, 3018, 1683,
1359 1683, 2912, 1721, 1721, 2210, 2210, 2210, 2210, 2210, 2286,
1360 2286, 2286, 2190, 3035, 2190, 1721, 1721, 3036, 3036, 3036,
1361 3035, 3035, 1721, 1721, 3037, 3037, 3037, 3035, 1655, 1655,
1362 2210, 2210, 2210, 3035, 2210, 2210, 3035, 3035, 2210, 3035,
1363 2210, 2210, 2210, 2210, 2211, 2211, 2211, 3035, 3035, 2286,
1364 3035, 2286, 2286, 3035, 3035, 2211, 2211, 2211, 2211, 2211,
1365 1682, 3018, 1682, 1682, 1753, 1753, 1753, 3038, 3038, 3038,
1366
1367 3038, 3038, 3018, 1682, 3018, 3018, 1682, 1682, 3018, 3018,
1368 3039, 3039, 3018, 3018, 1682, 1682, 1683, 3018, 3018, 1683,
1369 1753, 3018, 3040, 3040, 3040, 3040, 3040, 3018, 3018, 1753,
1370 3018, 3018, 3018, 1683, 1753, 2912, 1721, 1721, 2210, 2210,
1371 2210, 2210, 2210, 2210, 2210, 2286, 2286, 2286, 2286, 2286,
1372 3035, 1655, 1721, 3036, 3036, 3035, 1721, 3037, 3037, 1655,
1373 3043, 2210, 2210, 3035, 3035, 3044, 2210, 2210, 2210, 2210,
1374 2211, 2211, 3035, 3035, 3045, 2286, 2286, 3035, 3035, 2211,
1375 2211, 2211, 2211, 3018, 1682, 3018, 3018, 1682, 1753, 3018,
1376 3018, 1753, 3038, 3038, 3038, 3038, 3018, 3018, 3018, 3018,
1377
1378 1682, 1682, 3018, 3018, 3039, 3039, 3018, 3018, 1683, 1753,
1379 3040, 3040, 3040, 3040, 2912, 1721, 2210, 2210, 2210, 2210,
1380 2286, 2286, 2286, 2286, 3035, 3035, 3035, 3035, 2190, 1721,
1381 3035, 3035, 1721, 3036, 3036, 3035, 3035, 3035, 1721, 3037,
1382 3037, 1655, 3043, 3043, 3043, 3043, 3043, 3043, 2210, 2210,
1383 3035, 3035, 3044, 3044, 3044, 3044, 3044, 3044, 2210, 2210,
1384 2210, 2210, 2211, 2211, 3035, 3035, 3045, 3045, 3045, 3045,
1385 3045, 3045, 2286, 2286, 3035, 3035, 2211, 2211, 2211, 2211,
1386 3018, 3018, 3018, 1682, 3018, 3018, 1753, 3038, 3038, 3038,
1387 3038, 3038, 3039, 3039, 3039, 3040, 3040, 3040, 3040, 3040,
1388
1389 1721, 2210, 2210, 2210, 2210, 2286, 2286, 2286, 2286, 3036,
1390 3037, 1655, 3043, 3043, 3043, 3043, 3043, 2210, 2210, 3035,
1391 3035, 3044, 3044, 3044, 2210, 2210, 2210, 3035, 3035, 2210,
1392 2211, 2211, 3035, 3035, 3045, 3045, 3045, 3045, 3045, 2286,
1393 2286, 3035, 3035, 2211, 2211, 2211, 3035, 3035, 2211, 3038,
1394 3040, 1721, 2210, 2210, 2210, 3035, 3035, 2210, 2286, 2286,
1395 2286, 3035, 3035, 2286, 3036, 3036, 3036, 3037, 3037, 3037,
1396 1655, 3043, 3043, 3043, 3043, 2210, 3035, 3035, 2210, 2210,
1397 3035, 3035, 3044, 3044, 2210, 3035, 3035, 2210, 2210, 2210,
1398 2211, 3035, 3035, 2211, 2211, 3035, 3035, 3045, 3045, 3045,
1399
1400 3045, 2286, 3035, 3035, 2286, 2286, 3035, 3035, 2211, 3035,
1401 3035, 2211, 2211, 2211, 3038, 3038, 3038, 3040, 3040, 3040,
1402 1721, 2210, 3035, 3035, 2210, 2210, 2210, 2286, 3035, 3035,
1403 2286, 2286, 2286, 3043, 3043, 3043, 3043, 2210, 3035, 2210,
1404 2210, 3035, 3035, 3035, 3044, 3044, 2210, 2210, 2210, 2211,
1405 2211, 2211, 3035, 3035, 3045, 3045, 3045, 3045, 2286, 2286,
1406 3035, 3035, 2211, 2211, 2211, 2210, 3035, 2210, 2210, 2210,
1407 2286, 2286, 2286, 2286, 3043, 3043, 3043, 3043, 3043, 3043,
1408 2210, 3035, 2210, 2210, 3035, 3035, 3044, 3044, 3044, 3044,
1409 2210, 2210, 2211, 2211, 2211, 3035, 3045, 3045, 3045, 3045,
1410
1411 3045, 3045, 2286, 2286, 3035, 2211, 2211, 2210, 3035, 2210,
1412 2210, 2286, 2286, 2286, 3043, 3043, 3043, 3043, 3043, 3035,
1413 2210, 3035, 3035, 2210, 2210, 3035, 3035, 3044, 3044, 3035,
1414 3035, 2210, 2210, 2211, 3035, 3035, 2211, 2286, 3035, 3045,
1415 3045, 3045, 3045, 3045, 3035, 3035, 2286, 3035, 3035, 3035,
1416 2211, 2286, 3035, 2210, 3035, 3035, 2210, 2286, 3035, 3035,
1417 2286, 3043, 3043, 3043, 3043, 3035, 3035, 3035, 3035, 2210,
1418 2210, 3035, 3035, 3044, 3044, 3035, 3035, 2211, 2286, 3045,
1419 3045, 3045, 3045, 3035, 3035, 3035, 2210, 3035, 3035, 2286,
1420 3043, 3043, 3043, 3043, 3043, 3044, 3044, 3044, 3045, 3045,
1421
1422 3045, 3045, 3045, 3043, 3045, 3043, 3043, 3043, 3045, 3045,
1423 3045, 0, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
1424 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
1425 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
1426 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
1427 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
1428 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
1429 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
1430 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
1431 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
1432
1433 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
1434 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
1435 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
1436 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
1437 2912, 2912, 2912, 2912, 2912
1438 } ;
1439
1440static yyconst flex_int16_t yy_nxt[31167] =
1441 { 0,
1442 2912, 29, 30, 265, 29, 29, 30, 253, 29, 460,
1443 460, 460, 461, 275, 275, 2912, 2912, 31, 2475, 2466,
1444 255, 31, 32, 33, 34, 35, 36, 37, 38, 32,
1445 39, 40, 41, 42, 42, 42, 43, 44, 42, 45,
1446 46, 47, 48, 49, 32, 50, 51, 52, 53, 54,
1447 55, 53, 56, 57, 58, 53, 59, 53, 53, 60,
1448 53, 61, 53, 62, 63, 53, 53, 53, 53, 64,
1449 53, 65, 66, 53, 54, 55, 53, 56, 57, 58,
1450 53, 59, 53, 60, 53, 61, 53, 62, 63, 53,
1451 53, 53, 53, 64, 53, 67, 68, 69, 303, 95,
1452
1453 70, 926, 95, 98, 30, 130, 98, 135, 130, 926,
1454 2461, 168, 160, 132, 114, 115, 132, 114, 1920, 99,
1455 211, 131, 71, 72, 73, 96, 74, 75, 223, 133,
1456 116, 135, 135, 76, 97, 97, 160, 77, 135, 78,
1457 79, 80, 81, 118, 119, 211, 118, 71, 72, 73,
1458 96, 74, 75, 223, 120, 121, 135, 76, 1911, 122,
1459 1906, 77, 135, 78, 79, 80, 81, 82, 83, 84,
1460 85, 83, 82, 86, 82, 82, 82, 87, 87, 88,
1461 89, 87, 87, 87, 90, 82, 87, 91, 87, 87,
1462 87, 87, 87, 92, 92, 92, 92, 93, 92, 92,
1463
1464 92, 92, 92, 92, 92, 92, 92, 92, 92, 92,
1465 92, 92, 92, 92, 92, 92, 82, 94, 92, 92,
1466 92, 92, 93, 92, 92, 92, 92, 92, 92, 92,
1467 92, 92, 92, 92, 92, 92, 92, 92, 92, 92,
1468 82, 82, 97, 98, 30, 97, 98, 97, 97, 97,
1469 97, 97, 97, 97, 97, 97, 97, 97, 97, 99,
1470 97, 97, 97, 97, 97, 97, 97, 97, 114, 115,
1471 151, 114, 1876, 1871, 118, 119, 240, 118, 98, 30,
1472 182, 98, 175, 258, 116, 120, 121, 135, 97, 97,
1473 122, 97, 98, 30, 99, 98, 98, 30, 226, 98,
1474
1475 240, 226, 1456, 1350, 182, 1345, 175, 258, 99, 1146,
1476 1137, 135, 99, 1132, 224, 97, 97, 97, 98, 30,
1477 97, 98, 97, 97, 97, 97, 97, 97, 97, 97,
1478 97, 97, 97, 97, 99, 97, 97, 97, 97, 97,
1479 97, 97, 97, 98, 30, 237, 98, 98, 30, 223,
1480 98, 192, 223, 125, 126, 223, 125, 2912, 223, 99,
1481 175, 294, 239, 99, 127, 128, 97, 968, 129, 99,
1482 125, 126, 130, 125, 223, 130, 192, 223, 1014, 667,
1483 223, 127, 128, 223, 175, 129, 99, 239, 131, 667,
1484 97, 97, 97, 101, 102, 97, 103, 97, 104, 97,
1485
1486 97, 97, 105, 105, 106, 107, 105, 105, 105, 108,
1487 97, 105, 109, 105, 105, 105, 105, 105, 110, 110,
1488 110, 110, 110, 110, 111, 110, 110, 110, 110, 110,
1489 110, 110, 110, 112, 110, 110, 110, 110, 110, 110,
1490 110, 97, 110, 110, 110, 110, 110, 110, 110, 111,
1491 110, 110, 110, 110, 110, 110, 110, 112, 110, 110,
1492 110, 110, 110, 110, 110, 97, 97, 97, 98, 30,
1493 97, 98, 97, 356, 97, 97, 97, 97, 97, 97,
1494 97, 97, 97, 97, 99, 97, 97, 97, 97, 97,
1495 97, 97, 97, 98, 30, 161, 98, 98, 30, 178,
1496
1497 98, 179, 188, 180, 175, 175, 175, 241, 244, 99,
1498 181, 220, 135, 99, 495, 175, 97, 151, 207, 148,
1499 148, 208, 490, 367, 178, 179, 188, 180, 175, 175,
1500 175, 161, 241, 244, 181, 220, 135, 366, 150, 175,
1501 97, 97, 97, 98, 30, 97, 98, 97, 135, 97,
1502 97, 97, 97, 97, 97, 97, 97, 97, 97, 99,
1503 97, 97, 97, 97, 97, 97, 97, 97, 144, 137,
1504 137, 144, 135, 137, 137, 137, 137, 303, 302, 134,
1505 161, 372, 367, 270, 133, 161, 161, 257, 370, 2912,
1506 161, 97, 264, 132, 169, 255, 132, 135, 260, 145,
1507
1508 312, 260, 135, 135, 145, 170, 151, 135, 146, 133,
1509 366, 135, 257, 146, 261, 97, 97, 136, 137, 137,
1510 138, 135, 139, 135, 145, 312, 135, 135, 151, 145,
1511 253, 135, 146, 131, 140, 135, 140, 146, 252, 162,
1512 162, 162, 163, 134, 190, 135, 175, 135, 142, 151,
1513 171, 276, 183, 189, 161, 191, 356, 143, 262, 150,
1514 266, 262, 184, 266, 175, 175, 135, 175, 190, 135,
1515 175, 135, 175, 142, 263, 276, 267, 183, 189, 164,
1516 191, 143, 147, 148, 148, 149, 184, 139, 175, 175,
1517 135, 175, 193, 175, 161, 135, 185, 175, 131, 140,
1518
1519 175, 140, 150, 164, 186, 175, 221, 175, 187, 277,
1520 209, 135, 210, 142, 161, 242, 193, 175, 242, 161,
1521 277, 185, 143, 268, 273, 175, 268, 273, 186, 175,
1522 221, 175, 187, 277, 209, 135, 210, 302, 142, 269,
1523 131, 243, 165, 151, 277, 294, 143, 152, 153, 154,
1524 153, 153, 152, 155, 152, 152, 152, 152, 152, 152,
1525 152, 178, 222, 152, 175, 152, 243, 132, 157, 152,
1526 132, 179, 274, 213, 277, 212, 175, 175, 175, 182,
1527 181, 175, 300, 133, 214, 215, 178, 222, 158, 175,
1528 134, 188, 352, 175, 175, 179, 152, 213, 277, 212,
1529
1530 175, 175, 175, 182, 181, 175, 300, 309, 214, 270,
1531 215, 130, 158, 264, 130, 188, 352, 175, 216, 175,
1532 152, 152, 162, 162, 162, 163, 134, 131, 165, 218,
1533 132, 309, 190, 132, 217, 252, 166, 175, 166, 167,
1534 219, 168, 150, 216, 355, 2912, 133, 167, 167, 167,
1535 167, 167, 167, 134, 218, 134, 190, 175, 217, 325,
1536 2912, 175, 164, 2912, 219, 2912, 350, 2912, 355, 2912,
1537 310, 167, 167, 167, 167, 167, 167, 167, 136, 137,
1538 137, 138, 175, 353, 325, 2912, 164, 172, 172, 172,
1539 173, 350, 174, 2912, 310, 2912, 2912, 2912, 2912, 344,
1540
1541 174, 174, 175, 174, 140, 174, 175, 176, 353, 174,
1542 174, 174, 172, 172, 172, 173, 353, 174, 277, 137,
1543 137, 137, 137, 317, 344, 174, 174, 175, 174, 140,
1544 174, 175, 176, 265, 174, 174, 174, 154, 154, 154,
1545 154, 353, 277, 374, 374, 246, 2912, 317, 246, 359,
1546 145, 2912, 2912, 177, 2912, 246, 2912, 279, 246, 278,
1547 361, 248, 162, 162, 162, 163, 2912, 153, 154, 153,
1548 153, 248, 294, 359, 175, 145, 249, 177, 194, 137,
1549 137, 195, 150, 278, 361, 250, 249, 157, 315, 365,
1550 280, 311, 175, 2912, 2912, 250, 2912, 2912, 2912, 175,
1551
1552 282, 249, 283, 196, 197, 198, 175, 199, 200, 250,
1553 320, 249, 315, 365, 201, 280, 311, 175, 202, 250,
1554 203, 204, 205, 206, 282, 2912, 283, 2912, 196, 197,
1555 198, 175, 199, 200, 2912, 320, 2912, 2912, 201, 2912,
1556 2912, 2912, 202, 2912, 203, 204, 205, 206, 224, 225,
1557 226, 226, 225, 224, 224, 224, 224, 224, 227, 227,
1558 224, 224, 227, 227, 227, 228, 224, 227, 224, 227,
1559 227, 227, 227, 227, 154, 154, 154, 154, 229, 154,
1560 154, 154, 154, 368, 144, 137, 137, 144, 154, 154,
1561 154, 154, 369, 175, 279, 175, 237, 224, 224, 279,
1562
1563 133, 2912, 280, 229, 314, 313, 329, 368, 279, 353,
1564 154, 154, 154, 154, 2912, 145, 369, 284, 175, 2912,
1565 175, 224, 224, 230, 278, 175, 230, 280, 314, 313,
1566 279, 329, 224, 224, 353, 316, 224, 224, 224, 231,
1567 145, 224, 284, 224, 224, 224, 224, 224, 278, 285,
1568 175, 286, 223, 2912, 162, 162, 162, 162, 265, 316,
1569 162, 162, 162, 163, 566, 566, 566, 566, 275, 275,
1570 2912, 2912, 2912, 285, 150, 286, 2912, 223, 232, 232,
1571 150, 232, 232, 232, 233, 232, 232, 232, 232, 232,
1572 232, 232, 232, 232, 232, 232, 232, 232, 280, 232,
1573
1574 232, 232, 232, 232, 148, 148, 148, 148, 235, 287,
1575 288, 288, 289, 328, 172, 172, 172, 172, 2912, 2912,
1576 290, 290, 2912, 280, 150, 2912, 2912, 232, 2912, 172,
1577 172, 172, 173, 235, 176, 145, 292, 328, 293, 154,
1578 154, 154, 154, 2912, 278, 295, 296, 295, 295, 176,
1579 294, 232, 232, 172, 172, 172, 173, 2912, 174, 279,
1580 145, 292, 360, 293, 280, 157, 174, 174, 278, 174,
1581 140, 174, 175, 176, 175, 174, 174, 174, 172, 172,
1582 172, 173, 334, 174, 318, 297, 321, 360, 2912, 280,
1583 175, 174, 174, 280, 174, 140, 174, 175, 176, 175,
1584
1585 174, 174, 174, 326, 319, 362, 334, 281, 318, 297,
1586 321, 162, 162, 162, 163, 175, 2912, 2912, 280, 566,
1587 566, 566, 566, 654, 654, 654, 655, 326, 319, 2912,
1588 362, 150, 281, 152, 153, 154, 153, 153, 152, 155,
1589 152, 152, 152, 152, 152, 152, 152, 280, 280, 152,
1590 280, 152, 301, 175, 157, 152, 327, 207, 148, 148,
1591 208, 335, 323, 346, 324, 330, 336, 363, 348, 280,
1592 280, 175, 280, 280, 364, 280, 301, 150, 175, 282,
1593 327, 331, 152, 2912, 2912, 335, 323, 346, 324, 2912,
1594 330, 336, 363, 348, 280, 280, 175, 2912, 2912, 364,
1595
1596 2912, 375, 2912, 282, 2912, 331, 152, 152, 152, 153,
1597 154, 153, 153, 152, 155, 152, 152, 152, 152, 152,
1598 152, 152, 175, 280, 152, 375, 152, 332, 175, 157,
1599 152, 207, 148, 148, 208, 2912, 338, 343, 333, 207,
1600 148, 148, 208, 337, 175, 175, 339, 175, 280, 401,
1601 298, 150, 332, 175, 455, 175, 259, 152, 2912, 150,
1602 338, 343, 333, 340, 341, 2912, 274, 2912, 337, 175,
1603 175, 339, 2912, 401, 298, 275, 275, 2912, 455, 353,
1604 175, 152, 152, 162, 162, 162, 163, 340, 341, 302,
1605 311, 175, 345, 175, 175, 347, 175, 166, 230, 166,
1606
1607 167, 230, 167, 150, 353, 342, 2912, 349, 167, 167,
1608 167, 167, 167, 167, 231, 311, 175, 345, 175, 175,
1609 347, 175, 242, 456, 280, 242, 411, 2912, 2912, 342,
1610 2912, 349, 167, 167, 167, 167, 167, 167, 167, 172,
1611 172, 172, 173, 175, 174, 2912, 175, 456, 243, 280,
1612 2912, 411, 174, 174, 2912, 174, 140, 174, 351, 176,
1613 2912, 174, 174, 174, 304, 305, 305, 306, 175, 246,
1614 2912, 175, 246, 243, 260, 290, 290, 260, 2912, 465,
1615 262, 415, 351, 262, 279, 248, 266, 280, 280, 266,
1616 261, 292, 268, 293, 273, 268, 263, 273, 282, 378,
1617
1618 249, 175, 267, 465, 307, 2912, 415, 2912, 269, 250,
1619 131, 2912, 280, 280, 436, 280, 292, 2912, 293, 2912,
1620 2912, 294, 282, 378, 377, 249, 175, 2912, 307, 172,
1621 172, 172, 173, 250, 174, 154, 154, 154, 154, 436,
1622 280, 2912, 174, 174, 280, 174, 140, 174, 377, 176,
1623 2912, 174, 174, 174, 379, 279, 2912, 399, 308, 296,
1624 296, 296, 296, 2912, 287, 288, 288, 289, 2912, 280,
1625 154, 154, 154, 154, 285, 290, 290, 175, 379, 279,
1626 175, 399, 450, 308, 194, 137, 137, 195, 417, 2912,
1627 279, 292, 175, 293, 2912, 419, 175, 2912, 285, 376,
1628
1629 2912, 414, 175, 2912, 2912, 175, 416, 450, 380, 196,
1630 197, 198, 417, 199, 200, 175, 292, 175, 293, 419,
1631 201, 175, 420, 376, 322, 414, 203, 204, 205, 206,
1632 416, 265, 380, 2912, 196, 197, 198, 2912, 199, 200,
1633 175, 374, 374, 2912, 201, 2912, 420, 2912, 322, 2912,
1634 203, 204, 205, 206, 224, 225, 226, 226, 225, 224,
1635 224, 224, 224, 224, 227, 227, 224, 224, 227, 227,
1636 227, 228, 224, 227, 224, 227, 227, 227, 227, 227,
1637 390, 391, 391, 392, 354, 154, 154, 154, 154, 466,
1638 154, 154, 154, 154, 454, 457, 393, 295, 296, 295,
1639
1640 295, 2912, 294, 224, 224, 279, 2912, 2912, 175, 354,
1641 279, 459, 2912, 466, 394, 395, 395, 396, 2912, 454,
1642 457, 2912, 418, 2912, 382, 290, 290, 224, 224, 226,
1643 389, 381, 226, 175, 175, 462, 459, 297, 224, 224,
1644 446, 2912, 224, 224, 224, 224, 418, 224, 382, 224,
1645 224, 224, 224, 224, 430, 381, 468, 280, 353, 175,
1646 462, 297, 2912, 2912, 446, 394, 395, 395, 396, 2912,
1647 296, 296, 296, 296, 2912, 2912, 290, 290, 2912, 430,
1648 468, 389, 280, 353, 232, 232, 463, 232, 232, 232,
1649 233, 232, 232, 232, 232, 232, 232, 232, 232, 232,
1650
1651 232, 232, 232, 232, 397, 232, 232, 232, 232, 232,
1652 376, 463, 175, 423, 464, 280, 162, 162, 162, 163,
1653 280, 2912, 421, 280, 431, 425, 280, 175, 397, 429,
1654 472, 440, 2912, 232, 376, 2912, 150, 175, 423, 464,
1655 280, 579, 579, 579, 579, 280, 421, 2912, 280, 431,
1656 425, 280, 175, 429, 472, 402, 440, 232, 232, 232,
1657 232, 279, 232, 232, 232, 233, 232, 232, 232, 232,
1658 232, 232, 232, 232, 232, 232, 232, 232, 232, 402,
1659 232, 232, 232, 232, 232, 288, 288, 288, 383, 386,
1660 387, 387, 388, 568, 304, 305, 305, 306, 357, 2912,
1661
1662 290, 290, 2912, 2912, 2912, 389, 2912, 2912, 232, 2912,
1663 2912, 2912, 384, 2912, 385, 2912, 292, 568, 293, 280,
1664 434, 433, 357, 280, 394, 395, 395, 396, 412, 412,
1665 412, 413, 232, 232, 307, 290, 290, 384, 280, 385,
1666 389, 292, 280, 293, 280, 434, 433, 2912, 280, 259,
1667 426, 427, 424, 2912, 2912, 2912, 175, 175, 307, 438,
1668 467, 2912, 398, 280, 2912, 2912, 2912, 280, 374, 374,
1669 405, 406, 406, 407, 426, 427, 424, 305, 305, 305,
1670 403, 175, 175, 2912, 438, 467, 398, 152, 153, 154,
1671 153, 153, 152, 155, 152, 152, 152, 152, 152, 152,
1672
1673 152, 280, 2912, 152, 384, 152, 385, 280, 157, 152,
1674 307, 432, 394, 395, 395, 396, 2912, 404, 471, 280,
1675 2912, 428, 570, 290, 290, 2912, 280, 400, 389, 384,
1676 435, 385, 280, 330, 307, 432, 152, 280, 280, 2912,
1677 175, 404, 571, 471, 280, 428, 570, 282, 259, 422,
1678 437, 400, 439, 408, 435, 2912, 2912, 2912, 330, 473,
1679 152, 152, 280, 280, 175, 175, 571, 275, 275, 2912,
1680 2912, 282, 175, 422, 437, 441, 439, 408, 172, 172,
1681 172, 173, 175, 174, 473, 442, 443, 2912, 444, 175,
1682 175, 174, 174, 503, 174, 140, 174, 175, 176, 441,
1683
1684 174, 174, 174, 409, 259, 2912, 2912, 175, 175, 442,
1685 447, 443, 175, 444, 2912, 175, 175, 2912, 503, 971,
1686 445, 294, 2912, 374, 374, 2912, 2912, 971, 409, 172,
1687 172, 172, 173, 175, 174, 447, 175, 175, 175, 175,
1688 2912, 175, 174, 174, 445, 174, 140, 174, 448, 176,
1689 449, 174, 174, 174, 475, 175, 280, 280, 410, 453,
1690 484, 175, 2912, 175, 175, 451, 451, 451, 452, 485,
1691 2912, 474, 448, 575, 449, 175, 175, 175, 522, 475,
1692 175, 280, 280, 410, 453, 484, 154, 154, 154, 154,
1693 517, 537, 175, 485, 175, 474, 2912, 575, 514, 2912,
1694
1695 175, 175, 175, 522, 2912, 2912, 279, 2912, 2912, 2912,
1696 2912, 2912, 294, 2912, 517, 476, 537, 175, 2912, 175,
1697 232, 232, 514, 232, 232, 232, 233, 232, 232, 232,
1698 232, 232, 232, 232, 232, 232, 232, 232, 232, 232,
1699 476, 232, 232, 232, 232, 232, 501, 594, 2912, 458,
1700 548, 2912, 154, 154, 154, 154, 154, 154, 154, 154,
1701 2912, 479, 480, 480, 481, 390, 391, 391, 392, 232,
1702 501, 594, 279, 484, 458, 548, 279, 393, 175, 2912,
1703 175, 393, 485, 478, 390, 391, 391, 392, 384, 280,
1704 385, 2912, 518, 232, 232, 477, 550, 603, 484, 524,
1705
1706 393, 2912, 2912, 175, 482, 175, 485, 2912, 478, 523,
1707 531, 175, 280, 384, 280, 385, 518, 2912, 2912, 477,
1708 550, 603, 483, 524, 390, 391, 391, 390, 482, 390,
1709 391, 391, 390, 2912, 523, 531, 175, 280, 614, 2912,
1710 393, 390, 391, 391, 392, 393, 483, 486, 387, 387,
1711 487, 394, 395, 395, 394, 491, 2912, 393, 175, 291,
1712 491, 2912, 614, 389, 492, 498, 499, 389, 291, 492,
1713 521, 280, 493, 280, 384, 527, 385, 534, 488, 280,
1714 491, 494, 496, 175, 291, 491, 529, 489, 492, 498,
1715 499, 497, 291, 492, 521, 280, 280, 493, 280, 384,
1716
1717 527, 385, 534, 488, 280, 494, 528, 496, 2912, 2912,
1718 529, 489, 280, 2912, 2912, 497, 394, 395, 395, 394,
1719 280, 535, 394, 395, 395, 396, 2912, 291, 2912, 2912,
1720 528, 648, 389, 290, 290, 2912, 291, 280, 389, 500,
1721 2912, 390, 391, 391, 392, 535, 175, 496, 519, 519,
1722 519, 520, 291, 484, 280, 648, 497, 393, 530, 558,
1723 291, 649, 485, 500, 510, 406, 406, 511, 2912, 2912,
1724 2912, 175, 496, 2912, 2912, 2912, 559, 175, 484, 280,
1725 497, 2912, 508, 530, 558, 649, 485, 152, 153, 154,
1726 153, 153, 152, 155, 152, 152, 152, 152, 152, 152,
1727
1728 152, 559, 175, 152, 512, 152, 508, 660, 157, 152,
1729 162, 162, 162, 163, 567, 502, 505, 506, 506, 507,
1730 2912, 280, 2912, 405, 406, 406, 407, 569, 512, 2912,
1731 150, 660, 393, 526, 574, 2912, 152, 504, 2912, 567,
1732 502, 2912, 405, 406, 406, 407, 280, 290, 412, 412,
1733 412, 412, 569, 280, 484, 2912, 404, 526, 291, 574,
1734 152, 152, 504, 509, 2912, 532, 513, 291, 176, 2912,
1735 2912, 280, 290, 484, 412, 412, 412, 413, 280, 484,
1736 404, 2912, 509, 291, 2912, 536, 666, 509, 515, 532,
1737 513, 291, 280, 280, 176, 525, 280, 2912, 484, 533,
1738
1739 451, 451, 451, 452, 2912, 577, 509, 280, 593, 536,
1740 666, 538, 515, 2912, 516, 175, 2912, 280, 280, 539,
1741 525, 175, 175, 533, 175, 540, 2912, 175, 175, 280,
1742 577, 541, 280, 593, 542, 546, 538, 175, 516, 175,
1743 175, 543, 544, 2912, 539, 2912, 175, 175, 2912, 175,
1744 540, 545, 175, 175, 280, 541, 602, 547, 542, 549,
1745 546, 175, 175, 175, 175, 543, 544, 451, 451, 451,
1746 451, 451, 451, 451, 452, 545, 555, 609, 280, 2912,
1747 175, 602, 547, 2912, 549, 2912, 175, 176, 175, 2912,
1748 576, 176, 2912, 2912, 551, 291, 552, 156, 553, 608,
1749
1750 554, 555, 609, 280, 604, 175, 556, 556, 556, 556,
1751 560, 560, 560, 561, 576, 572, 572, 572, 572, 551,
1752 291, 552, 156, 553, 608, 554, 573, 557, 604, 2912,
1753 2912, 557, 232, 562, 556, 562, 562, 232, 233, 232,
1754 232, 232, 232, 232, 232, 232, 232, 232, 232, 232,
1755 232, 232, 2912, 232, 563, 232, 232, 232, 556, 556,
1756 556, 556, 460, 460, 460, 460, 565, 565, 565, 565,
1757 519, 519, 519, 520, 154, 154, 154, 154, 2912, 557,
1758 668, 232, 154, 154, 154, 154, 2912, 479, 480, 480,
1759 481, 175, 564, 2912, 279, 175, 564, 175, 2912, 280,
1760
1761 621, 632, 279, 393, 668, 232, 232, 479, 480, 480,
1762 481, 580, 280, 2912, 2912, 578, 175, 564, 493, 2912,
1763 175, 564, 175, 393, 280, 621, 632, 494, 479, 480,
1764 480, 481, 390, 391, 391, 392, 580, 280, 493, 578,
1765 2912, 2912, 2912, 493, 393, 2912, 2912, 494, 393, 291,
1766 584, 494, 291, 519, 519, 519, 519, 585, 291, 493,
1767 2912, 291, 2912, 493, 390, 391, 391, 392, 494, 581,
1768 582, 494, 2912, 176, 291, 584, 669, 291, 2912, 2912,
1769 393, 585, 291, 2912, 493, 291, 390, 391, 391, 392,
1770 618, 175, 494, 581, 582, 390, 391, 391, 392, 2912,
1771
1772 620, 669, 393, 589, 590, 591, 589, 583, 280, 2912,
1773 2912, 393, 623, 294, 618, 586, 175, 2912, 670, 592,
1774 390, 391, 391, 392, 620, 390, 391, 391, 392, 605,
1775 291, 583, 686, 280, 587, 607, 393, 623, 687, 291,
1776 586, 393, 670, 598, 599, 600, 598, 2912, 291, 595,
1777 606, 2912, 2912, 2912, 605, 291, 686, 291, 587, 601,
1778 607, 671, 687, 291, 596, 162, 162, 162, 163, 162,
1779 162, 162, 163, 291, 595, 606, 505, 506, 506, 507,
1780 613, 291, 2912, 280, 291, 150, 671, 624, 596, 150,
1781 693, 2912, 393, 291, 610, 505, 506, 506, 507, 505,
1782
1783 506, 506, 507, 694, 622, 613, 280, 493, 280, 291,
1784 615, 393, 624, 175, 693, 393, 611, 291, 2912, 610,
1785 700, 390, 391, 391, 392, 643, 493, 694, 638, 622,
1786 493, 280, 493, 291, 615, 611, 2912, 393, 175, 611,
1787 611, 585, 291, 408, 700, 510, 406, 406, 511, 643,
1788 2912, 493, 704, 616, 175, 493, 2912, 175, 291, 611,
1789 612, 617, 2912, 611, 2912, 585, 291, 408, 510, 406,
1790 406, 511, 390, 391, 391, 392, 704, 708, 616, 175,
1791 280, 280, 175, 737, 612, 512, 617, 280, 393, 280,
1792 519, 519, 519, 520, 627, 628, 625, 175, 2912, 626,
1793
1794 630, 280, 708, 2912, 280, 280, 280, 737, 512, 512,
1795 176, 587, 280, 508, 280, 629, 280, 631, 627, 628,
1796 625, 280, 175, 626, 633, 630, 280, 619, 280, 280,
1797 175, 2912, 512, 2912, 634, 587, 635, 508, 175, 629,
1798 280, 280, 631, 2912, 636, 637, 280, 639, 175, 633,
1799 640, 619, 711, 280, 175, 175, 175, 175, 634, 644,
1800 175, 635, 175, 175, 642, 280, 645, 175, 636, 646,
1801 637, 639, 175, 175, 641, 640, 2912, 711, 2912, 175,
1802 2912, 175, 175, 647, 644, 175, 638, 175, 2912, 642,
1803 2912, 645, 175, 646, 294, 724, 2912, 175, 641, 162,
1804
1805 162, 162, 163, 162, 162, 162, 163, 647, 652, 2912,
1806 2912, 175, 280, 556, 556, 556, 556, 280, 698, 150,
1807 724, 672, 280, 150, 560, 560, 560, 560, 657, 657,
1808 657, 657, 2912, 652, 557, 673, 175, 280, 650, 726,
1809 2912, 651, 280, 698, 2912, 557, 672, 280, 291, 557,
1810 566, 566, 566, 566, 656, 2912, 695, 291, 656, 673,
1811 2912, 2912, 650, 2912, 726, 651, 572, 572, 572, 572,
1812 2912, 2912, 2912, 291, 2912, 2912, 2912, 573, 2912, 656,
1813 695, 291, 2912, 656, 232, 562, 556, 562, 562, 232,
1814 658, 232, 232, 232, 232, 232, 232, 232, 232, 232,
1815
1816 232, 232, 232, 232, 232, 232, 563, 232, 232, 232,
1817 572, 572, 572, 572, 154, 154, 154, 154, 175, 732,
1818 2912, 573, 154, 154, 154, 154, 579, 579, 579, 579,
1819 2912, 2912, 706, 232, 279, 390, 391, 391, 392, 2912,
1820 291, 715, 279, 175, 732, 280, 279, 674, 2912, 291,
1821 680, 393, 390, 391, 391, 392, 706, 232, 232, 565,
1822 565, 565, 565, 618, 675, 291, 715, 2912, 393, 156,
1823 280, 2912, 674, 291, 680, 676, 175, 390, 391, 391,
1824 392, 734, 677, 2912, 699, 2912, 661, 618, 675, 662,
1825 663, 291, 664, 393, 156, 665, 175, 2912, 679, 676,
1826
1827 291, 175, 390, 391, 391, 392, 734, 677, 699, 710,
1828 678, 661, 2912, 2912, 662, 663, 291, 664, 393, 665,
1829 754, 175, 679, 2912, 291, 390, 391, 391, 392, 589,
1830 590, 591, 589, 710, 2912, 678, 589, 590, 591, 589,
1831 681, 393, 2912, 280, 754, 592, 590, 590, 590, 590,
1832 175, 2912, 592, 589, 590, 591, 589, 589, 590, 591,
1833 589, 716, 755, 757, 681, 725, 682, 683, 280, 592,
1834 2912, 2912, 2912, 685, 280, 175, 684, 496, 390, 391,
1835 391, 392, 2912, 714, 683, 716, 497, 755, 757, 725,
1836 682, 2912, 683, 684, 393, 390, 391, 391, 392, 280,
1837
1838 684, 2912, 496, 598, 599, 600, 598, 714, 2912, 683,
1839 497, 393, 598, 599, 600, 598, 688, 684, 2912, 601,
1840 2912, 756, 599, 599, 599, 599, 660, 2912, 601, 2912,
1841 2912, 2912, 598, 599, 600, 598, 689, 2912, 2912, 707,
1842 688, 175, 291, 690, 696, 756, 2912, 2912, 601, 291,
1843 660, 291, 691, 491, 598, 599, 600, 598, 291, 280,
1844 689, 697, 492, 690, 707, 2912, 175, 291, 690, 696,
1845 692, 718, 691, 2912, 291, 291, 691, 2912, 491, 2912,
1846 2912, 758, 291, 280, 280, 697, 492, 717, 690, 162,
1847 162, 162, 163, 175, 2912, 718, 691, 390, 391, 391,
1848
1849 392, 390, 391, 391, 392, 703, 758, 291, 280, 150,
1850 759, 731, 717, 393, 2912, 2912, 291, 393, 175, 162,
1851 162, 162, 163, 162, 162, 162, 163, 280, 702, 2912,
1852 703, 701, 291, 762, 759, 731, 596, 2912, 508, 150,
1853 291, 712, 713, 150, 280, 280, 719, 280, 709, 720,
1854 280, 175, 280, 702, 721, 701, 723, 762, 705, 280,
1855 596, 730, 508, 673, 778, 712, 280, 713, 2912, 280,
1856 280, 719, 280, 709, 720, 280, 175, 722, 721, 760,
1857 175, 723, 705, 2912, 280, 730, 2912, 673, 778, 727,
1858 761, 280, 172, 172, 172, 173, 728, 728, 728, 729,
1859
1860 2912, 722, 175, 2912, 760, 175, 735, 736, 162, 162,
1861 162, 163, 176, 727, 763, 761, 784, 733, 162, 162,
1862 162, 163, 175, 777, 764, 175, 280, 175, 150, 741,
1863 735, 736, 2912, 742, 742, 742, 742, 2912, 150, 763,
1864 784, 733, 783, 786, 2912, 738, 739, 175, 777, 764,
1865 175, 280, 291, 741, 557, 2912, 654, 654, 654, 654,
1866 2912, 740, 744, 744, 744, 744, 2912, 783, 786, 738,
1867 739, 280, 742, 742, 742, 742, 291, 2912, 742, 742,
1868 742, 742, 2912, 2912, 765, 740, 656, 154, 154, 154,
1869 154, 790, 656, 557, 2912, 2912, 280, 156, 2912, 557,
1870
1871 797, 794, 796, 154, 154, 154, 154, 279, 765, 2912,
1872 800, 656, 789, 802, 766, 790, 745, 656, 390, 391,
1873 391, 392, 156, 279, 797, 2912, 794, 796, 2912, 294,
1874 390, 391, 391, 392, 393, 800, 789, 2912, 802, 766,
1875 745, 657, 657, 657, 657, 767, 393, 2912, 2912, 390,
1876 391, 391, 392, 162, 162, 162, 163, 768, 280, 771,
1877 806, 291, 557, 769, 280, 393, 788, 803, 746, 767,
1878 291, 747, 748, 150, 749, 808, 2912, 750, 2912, 2912,
1879 2912, 768, 2912, 280, 771, 806, 291, 2912, 769, 280,
1880 788, 803, 770, 746, 291, 791, 747, 748, 2912, 749,
1881
1882 808, 750, 232, 751, 742, 751, 751, 232, 752, 232,
1883 232, 232, 232, 232, 232, 232, 770, 291, 232, 791,
1884 232, 819, 175, 232, 563, 2912, 291, 2912, 2912, 772,
1885 390, 391, 391, 392, 818, 175, 390, 391, 391, 392,
1886 2912, 2912, 291, 2912, 175, 819, 393, 175, 799, 820,
1887 291, 232, 393, 772, 589, 590, 591, 589, 818, 773,
1888 175, 589, 590, 591, 589, 589, 590, 591, 589, 175,
1889 592, 2912, 799, 291, 820, 232, 232, 592, 2912, 774,
1890 785, 685, 291, 775, 773, 390, 391, 391, 392, 390,
1891 391, 391, 392, 598, 599, 600, 598, 2912, 291, 2912,
1892
1893 776, 393, 821, 774, 785, 393, 291, 2912, 775, 601,
1894 598, 599, 600, 598, 779, 598, 599, 600, 598, 291,
1895 720, 280, 781, 280, 776, 280, 601, 821, 291, 825,
1896 832, 692, 780, 804, 833, 787, 2912, 2912, 2912, 779,
1897 801, 834, 291, 2912, 291, 720, 280, 781, 280, 782,
1898 280, 291, 291, 793, 825, 832, 780, 804, 833, 787,
1899 390, 391, 391, 392, 801, 834, 2912, 291, 162, 162,
1900 162, 163, 810, 782, 175, 291, 393, 793, 519, 519,
1901 519, 520, 162, 162, 162, 163, 280, 280, 150, 792,
1902 728, 728, 728, 729, 809, 795, 175, 810, 280, 175,
1903
1904 175, 175, 150, 835, 805, 2912, 836, 175, 2912, 812,
1905 811, 280, 280, 807, 792, 813, 2912, 2912, 809, 280,
1906 795, 175, 798, 280, 2912, 175, 175, 835, 805, 175,
1907 836, 2912, 175, 812, 811, 843, 837, 807, 814, 813,
1908 728, 728, 728, 728, 280, 2912, 798, 728, 728, 728,
1909 729, 2912, 2912, 2912, 175, 815, 815, 815, 816, 843,
1910 176, 837, 814, 728, 728, 728, 817, 176, 162, 162,
1911 162, 163, 861, 162, 162, 162, 163, 162, 162, 162,
1912 163, 2912, 2912, 2912, 175, 2912, 2912, 869, 150, 2912,
1913 2912, 884, 175, 150, 908, 2912, 861, 150, 742, 742,
1914
1915 742, 742, 175, 823, 742, 742, 742, 742, 822, 175,
1916 824, 869, 744, 744, 744, 744, 884, 175, 908, 557,
1917 742, 742, 742, 742, 2912, 557, 2912, 175, 823, 2912,
1918 2912, 2912, 822, 2912, 280, 824, 742, 742, 742, 742,
1919 2912, 557, 910, 892, 742, 742, 742, 742, 742, 742,
1920 742, 742, 2912, 742, 742, 742, 742, 557, 826, 280,
1921 890, 827, 280, 2912, 280, 557, 910, 892, 2912, 557,
1922 742, 742, 742, 742, 557, 2912, 896, 829, 742, 742,
1923 742, 742, 826, 828, 2912, 890, 827, 280, 745, 280,
1924 898, 557, 831, 751, 742, 751, 751, 2912, 356, 557,
1925
1926 830, 896, 829, 579, 579, 579, 579, 828, 838, 838,
1927 838, 838, 745, 2912, 563, 898, 831, 920, 2912, 839,
1928 175, 907, 294, 279, 2912, 830, 232, 751, 742, 751,
1929 751, 232, 752, 232, 232, 232, 232, 232, 232, 232,
1930 2912, 920, 232, 2912, 232, 175, 907, 232, 563, 840,
1931 840, 840, 841, 519, 519, 519, 520, 2912, 2912, 876,
1932 842, 844, 844, 844, 845, 846, 846, 846, 847, 2912,
1933 2912, 2912, 842, 2912, 2912, 232, 842, 2912, 390, 391,
1934 391, 392, 280, 876, 909, 279, 390, 391, 391, 392,
1935 280, 2912, 2912, 921, 393, 862, 862, 862, 862, 232,
1936
1937 232, 291, 393, 390, 391, 391, 392, 280, 923, 909,
1938 291, 851, 2912, 2912, 2912, 280, 848, 849, 921, 393,
1939 852, 853, 853, 854, 280, 2912, 291, 390, 391, 391,
1940 392, 2912, 923, 894, 291, 851, 856, 857, 857, 858,
1941 848, 922, 849, 393, 2912, 589, 590, 591, 589, 280,
1942 850, 291, 393, 589, 590, 591, 589, 894, 2912, 2912,
1943 291, 592, 390, 391, 391, 392, 922, 2912, 855, 592,
1944 864, 865, 865, 866, 850, 946, 291, 952, 393, 598,
1945 599, 600, 598, 859, 291, 2912, 393, 914, 598, 599,
1946 600, 598, 855, 2912, 860, 601, 870, 870, 870, 870,
1947
1948 946, 175, 952, 863, 601, 2912, 929, 859, 871, 280,
1949 291, 291, 840, 840, 840, 841, 2912, 867, 860, 291,
1950 291, 895, 981, 842, 872, 2912, 175, 863, 2912, 868,
1951 929, 2912, 2912, 871, 280, 291, 291, 873, 874, 874,
1952 875, 867, 2912, 291, 291, 895, 981, 2912, 872, 880,
1953 880, 880, 881, 868, 877, 846, 877, 878, 984, 280,
1954 842, 742, 742, 742, 742, 879, 280, 291, 291, 150,
1955 390, 391, 391, 392, 1001, 2912, 291, 291, 883, 2912,
1956 891, 156, 557, 984, 280, 2912, 393, 162, 162, 162,
1957 163, 280, 291, 291, 886, 886, 886, 886, 1001, 280,
1958
1959 291, 291, 883, 916, 891, 887, 156, 150, 888, 888,
1960 888, 889, 882, 893, 1003, 175, 885, 2912, 2912, 887,
1961 844, 844, 844, 845, 280, 175, 987, 916, 150, 897,
1962 1013, 842, 815, 815, 815, 816, 882, 893, 1003, 899,
1963 175, 885, 728, 728, 728, 817, 914, 175, 1002, 175,
1964 175, 987, 2912, 897, 1013, 815, 815, 815, 815, 2912,
1965 280, 280, 2912, 899, 815, 815, 815, 816, 2912, 2912,
1966 2912, 280, 175, 1002, 175, 176, 840, 840, 840, 840,
1967 742, 742, 742, 742, 176, 280, 280, 162, 162, 162,
1968 163, 2912, 904, 904, 904, 905, 280, 900, 900, 900,
1969
1970 901, 557, 902, 162, 162, 162, 163, 150, 2912, 2912,
1971 174, 174, 176, 174, 140, 174, 175, 176, 906, 174,
1972 174, 174, 1080, 150, 1007, 2912, 911, 162, 162, 162,
1973 163, 742, 742, 742, 742, 291, 912, 939, 742, 742,
1974 742, 742, 1012, 906, 291, 1092, 1080, 150, 1027, 1007,
1975 911, 2912, 557, 742, 742, 742, 742, 280, 915, 557,
1976 291, 912, 939, 742, 742, 742, 742, 1012, 291, 1092,
1977 913, 983, 1035, 1027, 557, 838, 838, 838, 838, 917,
1978 1095, 2912, 280, 915, 557, 2912, 839, 838, 838, 838,
1979 838, 918, 1036, 1043, 913, 983, 2912, 1035, 839, 2912,
1980
1981 280, 2912, 919, 917, 1095, 924, 924, 924, 925, 927,
1982 927, 927, 928, 1103, 1094, 918, 926, 1036, 1043, 2912,
1983 926, 844, 844, 844, 844, 280, 919, 930, 930, 930,
1984 931, 846, 846, 846, 846, 2912, 2912, 1103, 926, 1094,
1985 2912, 176, 932, 932, 932, 933, 2912, 176, 1106, 1122,
1986 2912, 279, 2912, 926, 390, 391, 391, 392, 390, 391,
1987 391, 392, 279, 936, 937, 937, 938, 2912, 2912, 2912,
1988 393, 291, 1106, 1122, 393, 852, 853, 853, 852, 393,
1989 291, 954, 1107, 934, 1115, 852, 853, 853, 852, 280,
1990 2912, 389, 852, 853, 853, 854, 291, 390, 391, 391,
1991
1992 392, 389, 935, 985, 291, 954, 496, 1107, 934, 1115,
1993 1127, 1161, 940, 393, 280, 497, 496, 856, 857, 857,
1994 856, 2912, 940, 2912, 2912, 497, 935, 985, 2912, 941,
1995 942, 496, 1162, 393, 1127, 1161, 940, 2912, 2912, 497,
1996 2912, 496, 877, 846, 877, 877, 940, 294, 491, 497,
1997 1133, 958, 175, 941, 940, 942, 1162, 492, 856, 857,
1998 857, 856, 157, 589, 590, 591, 589, 988, 856, 857,
1999 857, 858, 958, 491, 393, 1133, 958, 175, 940, 592,
2000 1175, 492, 1059, 1176, 393, 589, 590, 591, 589, 491,
2001 175, 988, 944, 958, 2912, 940, 1138, 958, 492, 493,
2002
2003 1147, 592, 1084, 1116, 1175, 943, 1059, 1176, 494, 862,
2004 862, 862, 862, 2912, 491, 175, 2912, 944, 958, 940,
2005 2912, 1138, 492, 1156, 493, 1147, 1084, 1116, 945, 943,
2006 280, 1159, 494, 390, 391, 391, 392, 864, 865, 865,
2007 864, 864, 865, 865, 864, 1082, 947, 2912, 1156, 393,
2008 1172, 1178, 945, 393, 1182, 280, 1159, 393, 864, 865,
2009 865, 866, 966, 967, 966, 966, 948, 294, 491, 1082,
2010 947, 291, 491, 968, 947, 1172, 1178, 492, 947, 1182,
2011 1123, 492, 2912, 598, 599, 600, 598, 598, 599, 600,
2012 598, 948, 1213, 491, 2912, 949, 291, 491, 947, 601,
2013
2014 2912, 492, 947, 601, 1123, 492, 870, 870, 870, 870,
2015 2912, 291, 950, 862, 862, 862, 862, 1213, 953, 949,
2016 291, 1214, 955, 956, 956, 957, 880, 880, 880, 880,
2017 951, 2912, 955, 956, 956, 957, 291, 950, 389, 1224,
2018 2912, 2912, 953, 940, 291, 1214, 150, 2912, 389, 955,
2019 956, 956, 961, 959, 951, 886, 886, 886, 886, 1229,
2020 962, 962, 960, 959, 1224, 389, 887, 940, 390, 391,
2021 391, 392, 960, 2912, 969, 932, 969, 970, 959, 294,
2022 964, 1228, 1239, 1229, 393, 971, 960, 1240, 959, 965,
2023 972, 927, 972, 973, 157, 294, 960, 974, 974, 974,
2024
2025 975, 971, 958, 958, 2912, 964, 1228, 1239, 926, 2912,
2026 976, 1240, 2912, 965, 2912, 1141, 1225, 150, 977, 978,
2027 978, 979, 162, 162, 162, 163, 2912, 958, 958, 980,
2028 888, 888, 888, 888, 976, 888, 888, 888, 889, 1141,
2029 1225, 887, 150, 2912, 2912, 1254, 887, 962, 2912, 291,
2030 150, 900, 900, 900, 900, 150, 2912, 1121, 291, 2912,
2031 900, 900, 900, 901, 982, 991, 989, 989, 989, 990,
2032 1254, 176, 962, 1248, 291, 2912, 2912, 140, 2912, 140,
2033 176, 1121, 291, 742, 742, 742, 742, 2912, 982, 900,
2034 900, 900, 901, 2912, 902, 175, 2912, 1248, 162, 162,
2035
2036 162, 163, 174, 174, 557, 174, 140, 174, 280, 176,
2037 2912, 174, 174, 174, 993, 993, 993, 993, 150, 2912,
2038 175, 904, 904, 904, 904, 995, 2912, 2912, 2912, 1005,
2039 904, 904, 904, 905, 279, 996, 996, 996, 997, 1273,
2040 994, 176, 870, 870, 870, 870, 995, 999, 994, 994,
2041 176, 994, 140, 994, 1005, 176, 906, 994, 994, 994,
2042 162, 162, 162, 163, 1273, 2912, 162, 162, 162, 163,
2043 2912, 2912, 999, 2912, 162, 162, 162, 163, 1253, 2912,
2044 150, 906, 742, 742, 742, 742, 150, 742, 742, 742,
2045 742, 2912, 2912, 2912, 150, 742, 742, 742, 742, 1000,
2046
2047 2912, 2912, 1253, 557, 1004, 2912, 2912, 2912, 557, 2912,
2048 2912, 1009, 1069, 1070, 1070, 1071, 557, 1276, 1276, 1276,
2049 1276, 1006, 2912, 1000, 900, 900, 900, 901, 1004, 902,
2050 1008, 742, 742, 742, 742, 1011, 1009, 174, 174, 2912,
2051 174, 140, 174, 175, 176, 1006, 174, 174, 174, 2912,
2052 2912, 2912, 557, 1297, 1008, 924, 924, 924, 925, 1011,
2053 1010, 924, 924, 924, 925, 2912, 926, 927, 927, 927,
2054 928, 280, 926, 927, 927, 927, 928, 1297, 926, 967,
2055 967, 967, 967, 1155, 926, 1010, 930, 930, 930, 1015,
2056 1014, 930, 930, 930, 931, 2912, 280, 926, 932, 932,
2057
2058 932, 933, 926, 2912, 2912, 2912, 176, 1155, 1277, 926,
2059 1298, 176, 932, 932, 932, 933, 2912, 1281, 279, 390,
2060 391, 391, 392, 926, 390, 391, 391, 392, 1018, 1019,
2061 1019, 1020, 279, 1277, 1298, 393, 1310, 1026, 2912, 1285,
2062 393, 291, 1281, 2912, 393, 1018, 1019, 1019, 1020, 2912,
2063 291, 1018, 1019, 1019, 1023, 1295, 2912, 1016, 2912, 1021,
2064 1310, 393, 1026, 1028, 1285, 1017, 291, 393, 1022, 291,
2065 390, 391, 391, 392, 291, 1302, 1021, 2912, 291, 2912,
2066 1295, 1016, 1024, 958, 1021, 1022, 393, 2912, 1028, 1017,
2067 1311, 1025, 1022, 1134, 291, 1317, 1361, 1029, 2912, 1319,
2068
2069 1302, 1021, 291, 390, 391, 391, 392, 1024, 958, 1022,
2070 589, 590, 591, 589, 1311, 1025, 2912, 1134, 1320, 393,
2071 1317, 1361, 1029, 1319, 1332, 2912, 592, 2912, 1030, 1032,
2072 1033, 1034, 1032, 390, 391, 391, 392, 1045, 390, 391,
2073 391, 392, 1320, 291, 1367, 592, 2912, 958, 1332, 393,
2074 1337, 1031, 291, 1030, 393, 598, 599, 600, 598, 1181,
2075 1037, 291, 1045, 1038, 1040, 1041, 1042, 1040, 291, 1367,
2076 291, 601, 958, 1044, 1337, 1031, 291, 2912, 2912, 1391,
2077 601, 1338, 2912, 1181, 2912, 1037, 291, 2912, 1038, 2912,
2078 955, 956, 956, 957, 291, 2912, 1039, 1044, 1356, 1406,
2079
2080 955, 956, 956, 957, 1391, 1338, 389, 1046, 1047, 1047,
2081 1048, 1052, 1053, 1053, 1054, 1392, 389, 2912, 2912, 958,
2082 1039, 959, 1356, 1049, 1406, 1407, 2912, 1055, 2912, 1139,
2083 960, 959, 966, 967, 966, 966, 291, 294, 1050, 1392,
2084 960, 958, 1056, 968, 958, 291, 959, 1051, 1131, 1407,
2085 1140, 1057, 1417, 1139, 960, 2912, 959, 1052, 1053, 1053,
2086 1054, 291, 2912, 1050, 960, 2912, 958, 1056, 1418, 291,
2087 1430, 1051, 1131, 1055, 1140, 1057, 2912, 1417, 1046, 1047,
2088 1047, 1060, 967, 967, 967, 967, 1058, 958, 1056, 962,
2089 962, 2912, 1418, 1014, 1049, 1430, 1180, 1057, 1063, 1064,
2090
2091 1064, 1065, 2912, 962, 1069, 1070, 1070, 1071, 2912, 1061,
2092 2912, 1058, 958, 1056, 1066, 1185, 1434, 2912, 1062, 1438,
2093 1180, 1057, 2912, 2912, 1069, 1070, 1070, 1071, 962, 1067,
2094 1069, 1070, 1070, 1071, 1061, 1072, 2912, 2912, 1068, 1185,
2095 1434, 962, 1062, 1438, 1073, 969, 932, 969, 970, 2912,
2096 294, 1148, 2912, 1074, 1067, 1072, 971, 1444, 1437, 1443,
2097 1072, 1072, 1068, 2912, 1073, 157, 962, 958, 1073, 1075,
2098 1073, 969, 932, 969, 970, 1148, 294, 1179, 1074, 2912,
2099 1072, 1444, 971, 1437, 1443, 2912, 1072, 1445, 1073, 2912,
2100 2912, 157, 958, 1075, 1073, 972, 927, 972, 973, 1451,
2101
2102 294, 1179, 972, 927, 972, 973, 971, 294, 974, 974,
2103 974, 1076, 1445, 971, 974, 974, 974, 975, 2912, 926,
2104 1077, 1078, 1078, 1079, 1451, 926, 1432, 1433, 150, 2912,
2105 1446, 980, 1452, 2912, 150, 2912, 393, 977, 978, 978,
2106 977, 977, 978, 978, 977, 962, 2912, 2912, 1014, 2912,
2107 1432, 1433, 1014, 389, 1446, 1183, 1452, 389, 977, 978,
2108 978, 979, 390, 391, 391, 392, 962, 958, 496, 980,
2109 962, 958, 496, 980, 1480, 1184, 1211, 497, 393, 1183,
2110 1222, 497, 162, 162, 162, 163, 989, 989, 989, 990,
2111 2912, 962, 958, 496, 2912, 2912, 958, 496, 1480, 1184,
2112
2113 1211, 497, 150, 1491, 1222, 497, 989, 989, 989, 989,
2114 2912, 989, 989, 989, 990, 280, 1085, 1499, 1087, 1087,
2115 1087, 1087, 1506, 1508, 1081, 2912, 176, 1491, 140, 995,
2116 140, 176, 1513, 993, 993, 993, 993, 1514, 2912, 958,
2117 280, 1499, 2912, 1399, 995, 958, 1506, 1508, 1081, 996,
2118 996, 996, 997, 279, 994, 1509, 1513, 1212, 1518, 2912,
2119 995, 1514, 994, 994, 958, 994, 140, 994, 1399, 176,
2120 958, 994, 994, 994, 900, 900, 900, 901, 2912, 902,
2121 1509, 1212, 1518, 162, 162, 162, 163, 174, 174, 1510,
2122 174, 140, 174, 280, 176, 958, 174, 174, 174, 1089,
2123
2124 1089, 1089, 1090, 150, 1088, 1246, 1246, 1246, 1247, 1282,
2125 995, 1093, 1088, 1088, 1510, 1088, 140, 1088, 2912, 150,
2126 958, 1088, 1088, 1088, 993, 993, 993, 993, 996, 996,
2127 996, 996, 1530, 1282, 175, 995, 1093, 2912, 2912, 995,
2128 996, 996, 996, 997, 279, 2912, 2912, 1519, 176, 2912,
2129 2912, 995, 1520, 162, 162, 162, 163, 1530, 2912, 175,
2130 176, 996, 996, 996, 997, 2912, 994, 162, 162, 162,
2131 163, 1519, 995, 150, 994, 994, 1520, 994, 140, 994,
2132 1548, 176, 1097, 994, 994, 994, 1521, 150, 742, 742,
2133 742, 742, 742, 742, 742, 742, 742, 742, 742, 742,
2134
2135 2912, 930, 930, 930, 1015, 1548, 1525, 1097, 1526, 557,
2136 1521, 1098, 926, 557, 2912, 2912, 2912, 557, 2912, 2912,
2137 2912, 176, 1087, 1087, 1087, 1087, 2912, 2912, 2912, 1104,
2138 1525, 2912, 1526, 995, 2912, 1098, 1096, 1099, 162, 1099,
2139 1100, 1096, 1096, 1096, 1096, 1096, 1096, 1096, 1096, 1096,
2140 1096, 1096, 1096, 1096, 1104, 1096, 958, 1102, 1096, 1096,
2141 1096, 1096, 742, 742, 742, 742, 2912, 1550, 390, 391,
2142 391, 392, 390, 391, 391, 392, 1018, 1019, 1019, 1020,
2143 1551, 958, 2912, 557, 393, 1096, 2912, 1552, 393, 2912,
2144 1563, 1550, 393, 974, 974, 974, 1076, 1109, 1018, 1019,
2145
2146 1019, 1020, 2912, 1105, 926, 1551, 1573, 1021, 2912, 1096,
2147 1096, 1108, 1552, 150, 393, 1563, 1022, 1110, 1111, 1111,
2148 1112, 2912, 1109, 856, 857, 857, 858, 1105, 291, 1021,
2149 1203, 1573, 1021, 1066, 2912, 1108, 1578, 291, 1022, 393,
2150 1022, 2912, 2912, 1110, 1111, 1111, 1117, 958, 1113, 1063,
2151 1064, 1064, 1065, 291, 1021, 1203, 2912, 1114, 1559, 1066,
2152 1578, 291, 1022, 2912, 2912, 1066, 852, 853, 853, 854,
2153 2912, 2912, 958, 1113, 1118, 2912, 962, 962, 1120, 1118,
2154 1067, 1114, 1559, 1119, 390, 391, 391, 392, 1119, 1068,
2155 1286, 2912, 589, 590, 591, 589, 1486, 291, 958, 1118,
2156
2157 393, 962, 962, 1120, 1118, 1067, 291, 1119, 592, 2912,
2158 2912, 2912, 1119, 1068, 1286, 1032, 1033, 1034, 1032, 2912,
2159 2912, 1486, 291, 958, 1124, 1125, 1033, 1033, 1033, 1033,
2160 291, 592, 2912, 1032, 1033, 1034, 1032, 864, 865, 865,
2161 866, 1580, 162, 162, 162, 163, 683, 1576, 1124, 592,
2162 1125, 2912, 1126, 393, 1582, 684, 958, 496, 390, 391,
2163 391, 392, 150, 940, 683, 1580, 497, 1586, 1223, 1590,
2164 1126, 683, 1576, 684, 393, 1581, 1126, 1592, 1582, 684,
2165 2912, 958, 496, 598, 599, 600, 598, 940, 2912, 683,
2166 497, 1586, 1223, 1590, 1126, 1585, 2912, 684, 1128, 601,
2167
2168 1581, 1592, 1040, 1041, 1042, 1040, 1041, 1041, 1041, 1041,
2169 1087, 1087, 1087, 1087, 1596, 2912, 1129, 2912, 601, 1589,
2170 1585, 995, 1128, 962, 1040, 1041, 1042, 1040, 873, 874,
2171 874, 875, 1237, 690, 2912, 2912, 2912, 491, 1596, 1130,
2172 601, 1129, 691, 947, 1589, 2912, 492, 2912, 962, 1089,
2173 1089, 1089, 1089, 2912, 2912, 690, 1237, 1591, 690, 291,
2174 995, 1130, 491, 1130, 691, 958, 691, 947, 291, 150,
2175 492, 1046, 1047, 1047, 1048, 1046, 1047, 1047, 1048, 1296,
2176 690, 1600, 1591, 1595, 291, 1130, 1614, 1049, 691, 962,
2177 958, 1049, 291, 1046, 1047, 1047, 1048, 1052, 1053, 1053,
2178
2179 1052, 1238, 1050, 1296, 1602, 1600, 1050, 2912, 1595, 1049,
2180 1614, 1051, 1621, 1055, 962, 1051, 1052, 1053, 1053, 1052,
2181 1069, 1070, 1070, 1071, 1050, 1238, 2912, 1050, 1135, 1602,
2182 1620, 1050, 1055, 1051, 1656, 1051, 1621, 1136, 2912, 1051,
2183 2912, 2912, 1069, 1070, 1070, 1071, 2912, 1135, 2912, 1050,
2184 1633, 1072, 2912, 1135, 2912, 1620, 1136, 1051, 1656, 1143,
2185 1073, 1136, 1063, 1064, 1064, 1063, 1186, 1186, 1186, 1186,
2186 958, 1142, 1135, 1072, 2912, 1633, 1072, 1187, 1066, 1279,
2187 1136, 2912, 1073, 1143, 1073, 1063, 1064, 1064, 1063, 1069,
2188 1070, 1070, 1069, 1144, 2912, 958, 1142, 1534, 1072, 958,
2189
2190 2912, 1066, 1145, 1279, 2912, 1049, 1073, 1069, 1070, 1070,
2191 1069, 1069, 1070, 1070, 1071, 2912, 1144, 2912, 1144, 962,
2192 1149, 1643, 1534, 1049, 958, 1145, 1145, 2912, 1283, 1150,
2193 1069, 1070, 1070, 1071, 1099, 162, 1099, 1099, 1149, 1348,
2194 1151, 1144, 1072, 1657, 962, 1149, 1643, 1150, 1349, 1145,
2195 1658, 1073, 1283, 1150, 1102, 2912, 2912, 1069, 1070, 1070,
2196 1071, 1072, 958, 1149, 1348, 1151, 1663, 1072, 1657, 1152,
2197 1073, 1150, 1349, 2912, 1658, 1073, 1069, 1070, 1070, 1071,
2198 1077, 1078, 1078, 1077, 1669, 2912, 1072, 958, 1072, 2912,
2199 1663, 1014, 2912, 1152, 1073, 1153, 393, 1073, 1077, 1078,
2200
2201 1078, 1077, 936, 937, 937, 938, 958, 1072, 1669, 1014,
2202 1464, 491, 958, 1072, 393, 1671, 1073, 1154, 393, 1153,
2203 492, 1073, 1089, 1089, 1089, 1090, 2912, 2912, 1538, 491,
2204 962, 958, 1072, 995, 2912, 1464, 491, 958, 492, 1671,
2205 1073, 1154, 150, 2912, 492, 1077, 1078, 1078, 1079, 162,
2206 162, 162, 163, 1538, 491, 962, 980, 162, 162, 162,
2207 163, 393, 492, 2912, 162, 162, 162, 163, 1677, 150,
2208 2912, 2912, 742, 742, 742, 742, 493, 150, 742, 742,
2209 742, 742, 958, 962, 150, 494, 2912, 1192, 1193, 1193,
2210 1194, 962, 1677, 557, 1280, 1163, 1160, 1174, 1191, 557,
2211
2212 1725, 493, 2912, 393, 2912, 1164, 1733, 958, 962, 494,
2213 996, 996, 996, 997, 1737, 994, 962, 1173, 1280, 1163,
2214 1160, 995, 1174, 994, 994, 1725, 994, 140, 994, 1164,
2215 176, 1733, 994, 994, 994, 162, 162, 162, 163, 1737,
2216 1157, 1173, 2912, 1197, 1197, 1197, 1197, 1739, 1157, 1157,
2217 1743, 1157, 140, 1157, 1187, 150, 2912, 1157, 1157, 1157,
2218 1089, 1089, 1089, 1090, 2912, 1088, 1329, 1329, 1329, 1329,
2219 2912, 995, 1739, 1088, 1088, 1743, 1088, 140, 1088, 1684,
2220 150, 1685, 1088, 1088, 1088, 1096, 1099, 162, 1099, 1100,
2221 1096, 1096, 1096, 1096, 1096, 1096, 1096, 1096, 1096, 1096,
2222
2223 1096, 1096, 1096, 1684, 1096, 1685, 1102, 1096, 1096, 1096,
2224 1096, 1198, 1199, 1199, 1200, 2912, 1249, 1249, 1249, 1250,
2225 962, 1251, 1191, 1260, 387, 1261, 1262, 393, 390, 391,
2226 391, 392, 1284, 140, 1096, 140, 150, 2912, 2912, 1263,
2227 1186, 1186, 1186, 1186, 393, 962, 1188, 1189, 1189, 1190,
2228 2912, 1187, 1276, 1276, 1276, 1276, 1284, 1191, 1096, 1096,
2229 1096, 1165, 288, 1166, 1167, 1096, 1096, 1096, 1096, 1096,
2230 1096, 1096, 1168, 1168, 1096, 1096, 1096, 1096, 1169, 1096,
2231 1169, 1096, 1096, 1096, 1096, 1096, 1169, 1169, 1170, 1169,
2232 1171, 1169, 1169, 1169, 1169, 1169, 1169, 1169, 1169, 1169,
2233
2234 1169, 1169, 1169, 1169, 1169, 1169, 1169, 1169, 1169, 1096,
2235 1169, 1169, 1169, 1170, 1169, 1171, 1169, 1169, 1169, 1169,
2236 1169, 1169, 1169, 1169, 1169, 1169, 1169, 1169, 1169, 1169,
2237 1169, 1169, 1169, 1096, 1096, 390, 391, 391, 392, 1110,
2238 1111, 1111, 1112, 1110, 1111, 1111, 1112, 1197, 1197, 1197,
2239 1197, 393, 2912, 1726, 2912, 1066, 1799, 958, 1187, 1066,
2240 1110, 1111, 1111, 1112, 2912, 1110, 1111, 1111, 1117, 1304,
2241 1113, 2912, 2912, 962, 1113, 2912, 1066, 1726, 1177, 1114,
2242 1799, 1066, 958, 1114, 1572, 2912, 1188, 1189, 1189, 1190,
2243 1757, 1113, 2912, 1304, 2912, 1113, 1118, 1191, 962, 1113,
2244
2245 1114, 2912, 1177, 1114, 2912, 1119, 2912, 1114, 1572, 589,
2246 590, 591, 589, 2912, 2912, 1757, 1113, 291, 962, 291,
2247 958, 1118, 1470, 962, 1114, 592, 291, 1289, 291, 1119,
2248 589, 590, 591, 589, 2912, 958, 1195, 598, 599, 600,
2249 598, 1800, 291, 962, 291, 958, 592, 1470, 962, 1303,
2250 291, 1289, 291, 601, 1810, 1196, 598, 599, 600, 598,
2251 958, 1195, 2912, 1812, 1201, 1800, 1813, 1205, 1206, 1207,
2252 1205, 2912, 601, 1303, 1216, 1217, 1218, 1216, 2912, 1810,
2253 1196, 1202, 2912, 1208, 1069, 1070, 1070, 1071, 1812, 1201,
2254 1219, 1813, 1863, 2912, 1069, 1070, 1070, 1071, 1209, 2912,
2255
2256 2912, 1231, 1232, 1233, 1231, 1220, 1202, 1210, 1817, 2912,
2257 1032, 1033, 1034, 1032, 1221, 1072, 1863, 1234, 1357, 1357,
2258 1357, 1357, 1226, 1209, 1073, 1072, 592, 1864, 2912, 2912,
2259 1220, 1210, 1235, 1817, 1073, 1227, 2912, 2912, 1221, 557,
2260 1072, 1236, 1069, 1070, 1070, 1071, 1226, 2912, 1073, 2912,
2261 1072, 1864, 1069, 1070, 1070, 1071, 2912, 1235, 1073, 1227,
2262 1818, 162, 162, 162, 163, 1236, 1819, 2912, 2912, 1069,
2263 1070, 1070, 1071, 1072, 1069, 1070, 1070, 1071, 2912, 2912,
2264 1241, 150, 1073, 1072, 2912, 1818, 1256, 2912, 962, 2912,
2265 1820, 1819, 1073, 1242, 1040, 1041, 1042, 1040, 1072, 1824,
2266
2267 1072, 2912, 1318, 1243, 1241, 1072, 1073, 1857, 1072, 1073,
2268 601, 1256, 1244, 962, 1073, 1820, 1073, 1242, 390, 391,
2269 391, 392, 2912, 2912, 1824, 1072, 1318, 2912, 1243, 958,
2270 1072, 2912, 1857, 1073, 393, 1883, 1244, 2912, 1073, 172,
2271 172, 172, 173, 1362, 174, 1882, 162, 162, 162, 163,
2272 962, 1278, 174, 174, 958, 174, 140, 174, 2912, 176,
2273 1883, 174, 174, 174, 1368, 2912, 150, 1362, 1245, 1882,
2274 1246, 1246, 1246, 1246, 2912, 962, 1278, 742, 742, 742,
2275 742, 1249, 1249, 1249, 1249, 1895, 2912, 2912, 1368, 1255,
2276 176, 2912, 2912, 1245, 162, 162, 162, 163, 557, 1157,
2277
2278 2912, 150, 1305, 1306, 1306, 1307, 2912, 1157, 1157, 1895,
2279 1157, 140, 1157, 1255, 150, 1274, 1157, 1157, 1157, 1096,
2280 1166, 288, 1166, 1257, 1096, 1096, 1096, 1096, 1096, 1096,
2281 1096, 958, 1894, 1096, 1096, 1096, 1096, 2912, 1096, 1274,
2282 1096, 1096, 1096, 1096, 1096, 1896, 958, 1258, 2912, 1259,
2283 1874, 2912, 742, 742, 742, 742, 958, 1894, 1363, 1875,
2284 1268, 395, 1269, 1270, 742, 742, 742, 742, 1096, 1896,
2285 2912, 958, 1258, 557, 1259, 1874, 1263, 1425, 1425, 1425,
2286 1425, 1358, 1363, 1875, 2912, 557, 2912, 1169, 2912, 1169,
2287 2912, 2912, 1096, 1096, 1096, 1264, 391, 1265, 1266, 1096,
2288
2289 1096, 1096, 1096, 1096, 1096, 1096, 1358, 1275, 1096, 1096,
2290 1096, 1267, 1169, 1096, 1169, 1096, 1096, 1096, 1096, 1096,
2291 1268, 395, 1269, 1270, 1268, 395, 1269, 1270, 2912, 2912,
2292 2912, 1275, 2912, 962, 2912, 2912, 1263, 1898, 2912, 1547,
2293 1263, 958, 2912, 1096, 2912, 1369, 958, 1169, 958, 1169,
2294 1476, 1169, 958, 1169, 1188, 1189, 1189, 1188, 962, 1271,
2295 1386, 1898, 1272, 1604, 1547, 1187, 958, 1096, 1096, 1369,
2296 389, 958, 1169, 958, 1169, 1476, 1169, 958, 1169, 1329,
2297 1329, 1329, 1329, 1271, 1386, 496, 1272, 1604, 1188, 1189,
2298 1189, 1188, 2912, 2912, 497, 1192, 1193, 1193, 1192, 1187,
2299
2300 1425, 1425, 1425, 1425, 389, 1901, 1187, 2912, 2912, 2912,
2301 496, 393, 2912, 2912, 1192, 1193, 1193, 1192, 497, 496,
2302 589, 590, 591, 589, 1921, 1187, 491, 2912, 497, 1901,
2303 393, 1192, 1193, 1193, 1194, 492, 592, 1198, 1199, 1199,
2304 1198, 2912, 1191, 1902, 496, 491, 2912, 393, 1187, 1921,
2305 962, 491, 497, 393, 492, 1357, 1357, 1357, 1357, 492,
2306 1287, 1607, 493, 1198, 1199, 1199, 1198, 1902, 491, 2912,
2307 491, 494, 2912, 2912, 1187, 962, 557, 492, 492, 393,
2308 1198, 1199, 1199, 1200, 1287, 1607, 2912, 493, 1922, 2912,
2309 2912, 1191, 2912, 491, 491, 494, 393, 598, 599, 600,
2310
2311 598, 492, 1940, 492, 1929, 2912, 958, 1205, 1206, 1207,
2312 1205, 493, 1922, 601, 1205, 1206, 1207, 1205, 1395, 491,
2313 494, 1943, 2912, 1208, 2912, 2912, 1940, 492, 962, 1929,
2314 1208, 958, 1324, 1325, 1325, 1326, 493, 1288, 1209, 2912,
2315 1412, 2912, 1395, 2912, 494, 1290, 1943, 1210, 1206, 1206,
2316 1206, 1206, 1944, 962, 1291, 1246, 1246, 1246, 1247, 2912,
2317 1327, 1288, 958, 1209, 1412, 1205, 1206, 1207, 1205, 1424,
2318 1290, 1210, 140, 1615, 140, 176, 1944, 2912, 1291, 1149,
2319 2912, 1208, 1205, 1206, 1207, 1205, 1949, 958, 1150, 1249,
2320 1249, 1249, 1250, 1424, 1251, 2912, 1290, 1615, 1292, 1205,
2321
2322 1206, 1207, 1205, 1950, 1149, 1291, 140, 1951, 140, 150,
2323 2912, 1949, 1150, 1209, 2912, 1208, 291, 1205, 1206, 1207,
2324 1205, 1290, 1210, 1381, 2912, 291, 1957, 1950, 1293, 1291,
2325 1209, 2912, 1951, 1208, 1216, 1217, 1218, 1216, 1209, 1210,
2326 1952, 291, 1648, 1648, 1648, 1648, 1210, 1381, 1209, 291,
2327 1219, 1957, 2912, 1293, 2912, 1209, 1294, 1210, 1364, 1365,
2328 1365, 1366, 2912, 1210, 1952, 1220, 1216, 1217, 1218, 1216,
2329 2912, 2912, 2912, 1209, 1221, 2912, 1217, 1217, 1217, 1217,
2330 1294, 1210, 1219, 1216, 1217, 1218, 1216, 958, 2912, 1958,
2331 1220, 1962, 2912, 1216, 1217, 1218, 1216, 1220, 1221, 1219,
2332
2333 162, 162, 162, 163, 2912, 2912, 1221, 1135, 2912, 1299,
2334 1968, 2912, 958, 1958, 1220, 1962, 1136, 958, 2912, 962,
2335 150, 1967, 1220, 1221, 1220, 1216, 1217, 1218, 1216, 1460,
2336 1221, 1466, 1135, 1221, 1968, 1216, 1217, 1218, 1216, 1220,
2337 1136, 1219, 958, 1333, 962, 2912, 1967, 1221, 1479, 1220,
2338 2912, 1219, 958, 1460, 1300, 1466, 1220, 1221, 1969, 2912,
2339 2912, 1069, 1070, 1070, 1071, 1221, 1220, 1333, 1370, 1371,
2340 1371, 1372, 2912, 1479, 1301, 1221, 1970, 958, 2912, 1300,
2341 2912, 1220, 1969, 1069, 1070, 1070, 1071, 2912, 2912, 1221,
2342 1308, 1220, 1072, 1231, 1232, 1233, 1231, 962, 1301, 1221,
2343
2344 1970, 1073, 1997, 1231, 1232, 1233, 1231, 2912, 2912, 1234,
2345 1644, 1645, 1645, 1646, 1072, 1308, 958, 1072, 1998, 1234,
2346 1485, 1647, 962, 1073, 1235, 1073, 1309, 1997, 2912, 1232,
2347 1232, 1232, 1232, 1236, 1312, 1231, 1232, 1233, 1231, 1072,
2348 2011, 958, 1998, 1313, 2912, 1485, 2012, 1073, 2912, 1235,
2349 1309, 1234, 1231, 1232, 1233, 1231, 2912, 1236, 2019, 1312,
2350 1144, 1440, 590, 1441, 1440, 2011, 1312, 1313, 1314, 1145,
2351 2012, 1231, 1232, 1233, 1231, 1313, 2021, 1442, 1231, 1232,
2352 1233, 1231, 2019, 1235, 2912, 1144, 2020, 1234, 2912, 2912,
2353 2912, 1312, 1236, 1145, 1234, 1664, 857, 1665, 1666, 1313,
2354
2355 1315, 2021, 1235, 742, 742, 742, 742, 2912, 1235, 1235,
2356 2020, 1236, 1069, 1070, 1070, 1071, 1236, 1316, 1236, 1069,
2357 1070, 1070, 1071, 2912, 557, 1315, 2029, 1235, 2912, 1069,
2358 1070, 1070, 1071, 2022, 1235, 1236, 2912, 1448, 599, 1449,
2359 1448, 1316, 1236, 1072, 1457, 1457, 1457, 1457, 1321, 1892,
2360 1072, 2029, 1073, 1450, 2027, 1322, 958, 2022, 1893, 1073,
2361 1072, 1324, 1325, 1325, 1326, 557, 2028, 1686, 1072, 1073,
2362 1323, 2912, 2912, 1321, 1892, 1072, 1073, 2912, 2027, 1322,
2363 2912, 958, 1893, 1073, 2912, 1072, 589, 590, 591, 589,
2364 2028, 1686, 1072, 1073, 1323, 390, 391, 391, 392, 2030,
2365
2366 2912, 1073, 592, 1334, 480, 1335, 1336, 1373, 1374, 1375,
2367 1373, 393, 1487, 2912, 2033, 2912, 958, 1072, 1376, 598,
2368 599, 600, 598, 592, 2030, 1073, 1246, 1246, 1246, 1247,
2369 1258, 174, 1259, 2912, 1360, 601, 2912, 1487, 2033, 174,
2370 174, 958, 174, 140, 174, 1558, 176, 958, 174, 174,
2371 174, 162, 162, 162, 163, 1258, 1330, 1259, 1360, 1481,
2372 1481, 1481, 1481, 2912, 1330, 1330, 2912, 1330, 140, 1330,
2373 1558, 150, 958, 1330, 1330, 1330, 1096, 1099, 162, 1099,
2374 1100, 1096, 1096, 1096, 1096, 1096, 1096, 1096, 1096, 1096,
2375 1096, 1096, 1096, 1096, 2912, 1096, 1482, 1102, 1096, 1096,
2376
2377 1096, 1096, 1260, 387, 1261, 1262, 2912, 1260, 387, 1261,
2378 1262, 1377, 1378, 1379, 1377, 962, 2912, 2034, 1263, 1497,
2379 1482, 2038, 1380, 1263, 2039, 1096, 1498, 601, 958, 2050,
2380 962, 1535, 1531, 1339, 1571, 958, 962, 1601, 1339, 958,
2381 962, 2034, 1340, 2912, 1497, 2038, 2912, 1340, 2039, 1096,
2382 1096, 1498, 2040, 958, 2050, 962, 1535, 1531, 1339, 1571,
2383 958, 962, 1601, 1339, 958, 2912, 1340, 1341, 387, 1261,
2384 1342, 1340, 1264, 391, 1265, 1264, 2040, 1264, 391, 1265,
2385 1264, 2912, 2041, 1263, 1268, 395, 1269, 1268, 1267, 1268,
2386 395, 1269, 1268, 1267, 1258, 2912, 1259, 962, 1343, 2912,
2387
2388 1263, 1536, 2057, 1346, 2912, 1263, 2041, 1344, 1346, 1539,
2389 1549, 2912, 1347, 962, 958, 1351, 2912, 1347, 2045, 1258,
2390 1351, 1259, 962, 1343, 1352, 2912, 1536, 2057, 1346, 1352,
2391 2912, 1344, 2912, 1346, 1539, 1549, 1347, 2912, 962, 958,
2392 1351, 1347, 2045, 2912, 2912, 1351, 2912, 2912, 1352, 1268,
2393 395, 1269, 1270, 1352, 1268, 395, 1269, 1270, 2912, 1205,
2394 1206, 1207, 1205, 2912, 2912, 1263, 1440, 590, 1441, 1440,
2395 1263, 1440, 590, 1441, 1440, 1208, 1169, 1605, 1169, 962,
2396 1603, 1169, 1442, 1169, 958, 1339, 2912, 1517, 1382, 962,
2397 1209, 2912, 1355, 2046, 1340, 1448, 599, 1449, 1448, 1210,
2398
2399 1690, 1169, 1605, 1169, 962, 1603, 1169, 2912, 1169, 958,
2400 1339, 1450, 2055, 1382, 962, 1209, 1355, 2046, 1340, 1268,
2401 395, 1269, 1270, 1210, 1690, 2912, 2056, 1205, 1206, 1207,
2402 1205, 162, 162, 162, 163, 1263, 2055, 1205, 1206, 1207,
2403 1205, 2058, 2912, 1208, 2089, 1606, 1169, 2101, 1169, 962,
2404 2056, 150, 2069, 1292, 1938, 1939, 1353, 1354, 1209, 2912,
2405 1425, 1425, 1425, 1425, 1431, 2058, 1383, 1210, 1209, 2089,
2406 1606, 1169, 2101, 1169, 962, 2912, 2069, 1210, 1938, 1939,
2407 1353, 1354, 2912, 1209, 1205, 1206, 1207, 1205, 2912, 1431,
2408 1383, 1210, 2070, 1209, 1205, 1206, 1207, 1205, 2912, 1504,
2409
2410 1208, 1210, 1387, 1388, 1388, 1389, 162, 162, 162, 163,
2411 1208, 1216, 1217, 1218, 1216, 1209, 2070, 2082, 1427, 1427,
2412 1427, 1427, 1384, 1504, 1210, 1209, 150, 1299, 2912, 2912,
2413 2912, 958, 2912, 2912, 1210, 1385, 1577, 2105, 150, 1390,
2414 1209, 2082, 1220, 2912, 2912, 2912, 1384, 2912, 1210, 2083,
2415 1209, 1221, 1216, 1217, 1218, 1216, 958, 1504, 1210, 1385,
2416 2090, 1577, 2105, 1390, 2912, 2912, 2912, 1220, 1219, 1216,
2417 1217, 1218, 1216, 2083, 2109, 1221, 1396, 1397, 1397, 1398,
2418 2091, 1504, 2092, 1220, 2090, 1219, 1305, 1306, 1306, 1305,
2419 1393, 2099, 1221, 2912, 2100, 1305, 1306, 1306, 1307, 2109,
2420
2421 1220, 2912, 1055, 2102, 2091, 958, 2092, 2106, 1220, 1221,
2422 1394, 2912, 2110, 1390, 1393, 2099, 1221, 1135, 2100, 1268,
2423 395, 1269, 1270, 940, 958, 1220, 1136, 2102, 2111, 2912,
2424 958, 2106, 1400, 1221, 1394, 1263, 2110, 1390, 1305, 1306,
2425 1306, 1305, 1135, 2912, 2112, 2115, 1169, 940, 1435, 958,
2426 1136, 2912, 2116, 2111, 1055, 2120, 1400, 1069, 1070, 1070,
2427 1071, 2912, 2912, 2912, 1402, 1403, 1403, 1404, 2112, 1135,
2428 2115, 1169, 2912, 1435, 2912, 940, 2116, 2912, 1136, 2120,
2429 1448, 599, 1449, 1448, 1457, 1457, 1457, 1457, 1072, 2912,
2430 1457, 1457, 1457, 1457, 1135, 1072, 1524, 1073, 1401, 940,
2431
2432 2128, 1405, 1136, 2129, 1073, 557, 2127, 1231, 1232, 1233,
2433 1231, 557, 2149, 1072, 1231, 1232, 1233, 1231, 2912, 2912,
2434 1072, 1073, 1401, 1234, 2128, 1405, 2912, 2129, 1073, 2912,
2435 1234, 2127, 1402, 1403, 1403, 1404, 1408, 2149, 1235, 2912,
2436 2912, 1231, 1232, 1233, 1231, 1235, 2912, 1236, 1231, 1232,
2437 1233, 1231, 2912, 1409, 1236, 2912, 2150, 1314, 2912, 2912,
2438 2912, 1408, 2164, 1235, 1234, 1413, 1414, 1414, 1415, 1489,
2439 1235, 1236, 1235, 1670, 862, 1670, 1670, 1409, 1236, 1235,
2440 2150, 1236, 1231, 1232, 1233, 1231, 1410, 2164, 1236, 1069,
2441 1070, 1070, 1071, 1489, 962, 2912, 2175, 1235, 1234, 2912,
2442
2443 2165, 2170, 1416, 2176, 1235, 1236, 1672, 865, 1673, 1674,
2444 1410, 2183, 1236, 1235, 2912, 1492, 1492, 1492, 1492, 962,
2445 1072, 2175, 1236, 1411, 2165, 2170, 1416, 2176, 2912, 1073,
2446 1419, 1420, 1421, 1421, 1422, 2912, 2183, 2912, 1235, 2184,
2447 2185, 1069, 1070, 1070, 1071, 1072, 1236, 1411, 1324, 1325,
2448 1325, 1324, 1482, 1073, 1419, 2912, 2186, 2912, 1374, 1374,
2449 1374, 1374, 1072, 2184, 1049, 2185, 2191, 2197, 1405, 1187,
2450 2199, 1073, 1072, 2912, 1423, 2912, 1482, 2912, 2912, 1149,
2451 2186, 1073, 2205, 2212, 2219, 940, 2223, 1072, 1150, 496,
2452 2191, 2197, 1405, 2912, 2199, 1073, 2912, 1072, 497, 1423,
2453
2454 1324, 1325, 1325, 1324, 1149, 1073, 2205, 2212, 2219, 940,
2455 2233, 2223, 1150, 2250, 496, 2912, 1049, 1268, 395, 1269,
2456 1270, 2244, 497, 1268, 395, 1269, 1270, 936, 937, 937,
2457 938, 1149, 2912, 1263, 2233, 2912, 2912, 940, 2250, 1263,
2458 1150, 2912, 2912, 393, 1169, 2244, 1169, 1454, 2912, 2251,
2459 1169, 2258, 1169, 2912, 2912, 2266, 1149, 1440, 590, 1441,
2460 1440, 940, 1436, 2912, 1150, 1427, 1427, 1427, 1428, 1169,
2461 1426, 1169, 1454, 1517, 2251, 1169, 2258, 1169, 1426, 1426,
2462 2266, 1426, 140, 1426, 2270, 150, 1436, 1426, 1426, 1426,
2463 162, 162, 162, 163, 2912, 1330, 2912, 2912, 2912, 1649,
2464
2465 1649, 1649, 1650, 1330, 1330, 2252, 1330, 140, 1330, 2270,
2466 150, 2257, 1330, 1330, 1330, 1334, 480, 1335, 1336, 150,
2467 1268, 395, 1269, 1270, 2259, 390, 391, 391, 392, 2252,
2468 2328, 1413, 1414, 1414, 1415, 2257, 1263, 1268, 395, 1269,
2469 1270, 393, 1258, 2912, 1259, 2912, 1348, 1169, 2259, 1169,
2470 2912, 2912, 2912, 1263, 2328, 1349, 1461, 1462, 1462, 1463,
2471 1453, 2272, 2912, 1459, 1169, 2276, 1169, 1258, 1416, 1259,
2472 1455, 1348, 1169, 2912, 1169, 1678, 870, 1678, 1678, 1349,
2473 1334, 480, 1335, 1336, 1453, 958, 2272, 1459, 2295, 1169,
2474 2276, 1169, 1416, 1390, 2297, 1455, 2298, 2306, 1364, 1365,
2475
2476 1365, 1364, 1364, 1365, 1365, 1364, 2912, 1258, 2912, 1259,
2477 958, 1348, 2912, 2295, 1055, 2912, 2309, 1390, 1055, 2297,
2478 1349, 2298, 2306, 1364, 1365, 1365, 1366, 2333, 2310, 1135,
2479 2320, 1169, 1258, 1135, 1259, 947, 1348, 1169, 1136, 947,
2480 1169, 2309, 1136, 2912, 1349, 1334, 480, 1335, 1336, 2912,
2481 2327, 2333, 958, 2310, 1135, 2320, 1169, 2329, 1135, 947,
2482 1465, 1169, 1136, 947, 1169, 2912, 1136, 1467, 1468, 1468,
2483 1469, 2334, 1258, 2332, 1259, 2327, 1348, 958, 1448, 599,
2484 1449, 1448, 2329, 2912, 1465, 1349, 2912, 1370, 1371, 1371,
2485 1370, 2397, 2344, 2912, 1524, 2334, 962, 1258, 2332, 1259,
2486
2487 2346, 1348, 2912, 1066, 1416, 1698, 1698, 1698, 1698, 1349,
2488 1341, 387, 1261, 1342, 2912, 2397, 1699, 2344, 1144, 2347,
2489 2912, 962, 2351, 2912, 947, 2346, 1263, 1145, 1416, 1373,
2490 1374, 1375, 1373, 1500, 1500, 1500, 1500, 1258, 2352, 1259,
2491 1376, 1343, 2912, 1144, 2347, 592, 2353, 2351, 947, 2403,
2492 1344, 1145, 1370, 1371, 1371, 1370, 1373, 1374, 1375, 1373,
2493 683, 2354, 1258, 2352, 1259, 2912, 1343, 1376, 1066, 684,
2494 1482, 2353, 592, 2403, 1344, 1341, 387, 1261, 1342, 1705,
2495 1705, 1705, 1705, 1144, 2358, 683, 2354, 683, 2912, 947,
2496 1704, 1263, 1145, 684, 1482, 2912, 684, 1305, 1306, 1306,
2497
2498 1307, 2912, 1258, 2392, 1259, 2395, 1343, 2396, 1144, 2358,
2499 2912, 2912, 683, 947, 2912, 1344, 1145, 2912, 2912, 2409,
2500 684, 2912, 1377, 1378, 1379, 1377, 958, 1258, 2392, 1259,
2501 2395, 1343, 2396, 1380, 1378, 1378, 1378, 1378, 601, 1344,
2502 1370, 1371, 1371, 1372, 2409, 1187, 1364, 1365, 1365, 1366,
2503 2912, 958, 2410, 690, 2422, 2912, 2431, 1377, 1378, 1379,
2504 1377, 2912, 691, 2436, 2438, 491, 2912, 2437, 1380, 962,
2505 2449, 1118, 2912, 601, 492, 958, 2410, 1471, 690, 2422,
2506 1119, 2431, 1420, 1421, 1421, 1422, 691, 2436, 690, 2438,
2507 491, 2437, 2912, 2912, 962, 2449, 1118, 691, 492, 2450,
2508
2509 958, 1471, 2912, 2912, 1119, 873, 874, 874, 875, 1205,
2510 1206, 1207, 1205, 690, 2451, 1440, 590, 1441, 1440, 1405,
2511 2453, 691, 2456, 2450, 2912, 1208, 1205, 1206, 1207, 1205,
2512 2912, 1442, 1205, 1206, 1207, 1205, 291, 2476, 2451, 2521,
2513 1209, 2912, 1208, 1405, 2453, 291, 2456, 1472, 1208, 1210,
2514 2457, 2912, 1205, 1206, 1207, 1205, 1668, 1209, 2912, 2912,
2515 2912, 291, 2476, 1209, 2521, 1209, 1210, 1473, 1208, 291,
2516 2477, 1472, 1210, 1210, 2457, 1475, 1387, 1388, 1388, 1389,
2517 1668, 1474, 1209, 1209, 1387, 1388, 1388, 1387, 1209, 1722,
2518 1210, 1473, 1210, 2551, 2477, 1722, 1210, 2912, 1722, 1475,
2519
2520 1055, 1387, 1388, 1388, 1387, 958, 1474, 2484, 1209, 1708,
2521 1709, 1709, 1710, 1478, 1722, 1135, 1210, 1055, 2551, 1722,
2522 1711, 1477, 1722, 2489, 1136, 2912, 1440, 590, 1441, 1440,
2523 958, 2484, 1135, 1216, 1217, 1218, 1216, 1478, 1477, 2515,
2524 1135, 1136, 1442, 2516, 2912, 1477, 2912, 2489, 1136, 1219,
2525 1216, 1217, 1218, 1216, 2522, 1587, 2912, 1135, 1467, 1468,
2526 1468, 1469, 1477, 2515, 1220, 1136, 1219, 2516, 1396, 1397,
2527 1397, 1396, 2523, 1221, 2912, 2912, 1484, 2912, 2522, 1483,
2528 1587, 1220, 2912, 2912, 1055, 1396, 1397, 1397, 1396, 1220,
2529 1221, 1396, 1397, 1397, 1398, 1537, 2523, 1221, 2447, 1135,
2530
2531 1484, 1055, 2524, 2552, 1483, 1482, 1220, 2448, 1136, 2912,
2532 162, 162, 162, 163, 1221, 2912, 1135, 2565, 2912, 1537,
2533 958, 2912, 1482, 2447, 1135, 1136, 2524, 2552, 1390, 1482,
2534 150, 2448, 1136, 1069, 1070, 1070, 1071, 1402, 1403, 1403,
2535 1402, 1135, 2565, 2566, 2575, 958, 1482, 2912, 1579, 1136,
2536 2912, 2573, 1390, 1049, 2574, 1402, 1403, 1403, 1402, 1069,
2537 1070, 1070, 1071, 2576, 1072, 2912, 1488, 2566, 1149, 2575,
2538 2606, 1049, 1579, 1073, 1477, 2573, 2607, 1150, 2574, 2912,
2539 1481, 1481, 1481, 1481, 1490, 2601, 1149, 2576, 2608, 1072,
2540 1072, 1488, 1477, 1149, 2606, 1150, 2609, 1073, 1477, 1073,
2541
2542 2607, 1150, 1231, 1232, 1233, 1231, 2912, 2912, 2620, 1490,
2543 2601, 1149, 2912, 2608, 2621, 1072, 1477, 1482, 1234, 1150,
2544 2609, 2633, 2912, 1073, 1492, 1492, 1492, 1492, 1231, 1232,
2545 1233, 1231, 2620, 1235, 1231, 1232, 1233, 1231, 2621, 2912,
2546 1493, 1482, 1236, 2634, 1234, 2633, 1231, 1232, 1233, 1231,
2547 1234, 2640, 2912, 2912, 1448, 599, 1449, 1448, 1235, 1235,
2548 2912, 1532, 1234, 1495, 1493, 1235, 1236, 2634, 1236, 1494,
2549 1450, 2641, 2642, 2643, 1236, 2652, 2640, 1235, 1413, 1414,
2550 1414, 1413, 2912, 1593, 1235, 1532, 1236, 2659, 1495, 1496,
2551 1235, 2681, 1236, 1494, 1066, 2641, 2642, 2643, 1236, 2652,
2552
2553 2912, 2660, 1235, 2912, 1413, 1414, 1414, 1413, 1593, 1144,
2554 1236, 2696, 2659, 1496, 2661, 1482, 2681, 2912, 1145, 2912,
2555 1066, 1069, 1070, 1070, 1071, 2660, 2707, 1420, 1421, 1421,
2556 1420, 2682, 2697, 2702, 1144, 1144, 2696, 2912, 2661, 1482,
2557 2912, 1482, 1145, 1049, 1145, 2912, 2912, 1420, 1421, 1421,
2558 1420, 2707, 1072, 2912, 1501, 2682, 2697, 2702, 1149, 2912,
2559 1144, 1073, 2708, 1049, 1482, 1482, 2721, 1150, 1145, 1069,
2560 1070, 1070, 1071, 1069, 1070, 1070, 1071, 1072, 1149, 1501,
2561 2912, 2728, 2732, 1149, 1482, 1073, 2708, 1150, 1482, 2912,
2562 2721, 1150, 1427, 1427, 1427, 1428, 1502, 2759, 1503, 2760,
2563
2564 1072, 2912, 2742, 1149, 1072, 2728, 2753, 2732, 1482, 1073,
2565 2771, 1150, 150, 1073, 162, 162, 162, 163, 2912, 2912,
2566 2912, 1502, 2759, 1503, 2760, 1072, 2742, 2912, 2912, 1072,
2567 2753, 1505, 2912, 1073, 150, 2771, 2761, 1073, 1648, 1648,
2568 1648, 1648, 1370, 1371, 1371, 1372, 2912, 2912, 2912, 1500,
2569 1500, 1500, 1500, 1507, 2912, 1505, 1427, 1427, 1427, 1428,
2570 2761, 1426, 2912, 2912, 2912, 1268, 395, 1269, 1270, 1426,
2571 1426, 962, 1426, 140, 1426, 2912, 150, 1507, 1426, 1426,
2572 1426, 1263, 1268, 395, 1269, 1270, 1477, 1481, 1481, 1481,
2573 1481, 2773, 1169, 2774, 1169, 2912, 962, 2782, 1263, 1440,
2574
2575 590, 1441, 1440, 1511, 1440, 590, 1441, 1440, 2785, 1169,
2576 1477, 1169, 2786, 2796, 2912, 1442, 2773, 1169, 2774, 1169,
2577 1442, 2803, 2782, 1512, 1482, 2804, 2912, 1511, 2912, 2805,
2578 1515, 2912, 2809, 2785, 1169, 1515, 1169, 2786, 2796, 1516,
2579 1448, 599, 1449, 1448, 1516, 2812, 2803, 1512, 1482, 2804,
2580 1448, 599, 1449, 1448, 2805, 1515, 1450, 2809, 2912, 2912,
2581 1515, 2813, 2826, 1516, 2912, 2814, 1450, 2820, 1516, 2827,
2582 2812, 1522, 1268, 395, 1269, 1270, 1268, 395, 1269, 1270,
2583 1523, 1522, 1461, 1462, 1462, 1461, 2813, 2826, 1263, 2814,
2584 1523, 2820, 1263, 2827, 2912, 2839, 1522, 2853, 1055, 1169,
2585
2586 2848, 1169, 2912, 1169, 1523, 1169, 1522, 2912, 2858, 1528,
2587 2912, 1527, 2912, 1135, 1523, 1268, 395, 1269, 1270, 1532,
2588 2839, 2853, 1136, 2912, 1169, 2848, 1169, 2912, 1169, 2912,
2589 1169, 1263, 2858, 2912, 1528, 1527, 2912, 2912, 1135, 2912,
2590 2912, 2912, 1169, 1532, 1169, 2912, 1136, 1461, 1462, 1462,
2591 1461, 1461, 1462, 1462, 1463, 2912, 2912, 2912, 1529, 1467,
2592 1468, 1468, 1467, 1055, 2912, 2912, 2912, 1169, 2912, 1169,
2593 1467, 1468, 1468, 1467, 2912, 1066, 2912, 2912, 1135, 2912,
2594 958, 2912, 1529, 2912, 1532, 2912, 1066, 1136, 1533, 2912,
2595 1144, 1629, 1630, 1630, 1631, 2912, 1532, 2912, 2912, 1145,
2596
2597 2912, 1144, 1632, 1135, 2912, 958, 2912, 1532, 1532, 2912,
2598 1145, 1136, 1533, 2912, 2912, 1144, 1205, 1206, 1207, 1205,
2599 1532, 2912, 2912, 1145, 2912, 2912, 1144, 1440, 590, 1441,
2600 1440, 1532, 1208, 2912, 1145, 1205, 1206, 1207, 1205, 1644,
2601 1645, 1645, 1646, 1442, 2912, 1540, 2912, 1209, 2912, 2912,
2602 1647, 1208, 1205, 1206, 1207, 1205, 1210, 1543, 1544, 1545,
2603 1543, 1492, 1492, 1492, 1492, 1667, 1209, 2912, 1208, 2912,
2604 1540, 2912, 1209, 1208, 2912, 1210, 2912, 2912, 1541, 2912,
2605 1210, 2912, 2912, 1209, 1216, 1217, 1218, 1216, 1209, 1667,
2606 2912, 1209, 1210, 1542, 1546, 2912, 2912, 1210, 1482, 1210,
2607
2608 1219, 2912, 1541, 2912, 1554, 1555, 1556, 1554, 1209, 1727,
2609 937, 1728, 1729, 1209, 2912, 1220, 1210, 1542, 1546, 2912,
2610 1219, 1210, 1482, 2912, 1221, 1553, 1069, 1070, 1070, 1071,
2611 1069, 1070, 1070, 1071, 2912, 1220, 1069, 1070, 1070, 1071,
2612 1220, 1557, 2912, 2912, 1221, 2912, 2912, 2912, 1221, 1553,
2613 2912, 2912, 2912, 1560, 2912, 1561, 2912, 1072, 2912, 2912,
2614 1220, 1072, 2912, 2912, 2912, 1557, 1073, 1072, 1221, 2912,
2615 1073, 2912, 1231, 1232, 1233, 1231, 1562, 2912, 1560, 2912,
2616 1561, 2912, 1072, 2912, 2912, 2912, 1072, 2912, 1234, 2912,
2617 1073, 2912, 1072, 2912, 1073, 1664, 857, 1665, 1666, 2912,
2618
2619 1562, 1564, 2912, 1235, 1231, 1232, 1233, 1231, 1231, 1232,
2620 1233, 1231, 1236, 2912, 1567, 1568, 1569, 1567, 2912, 2912,
2621 1234, 2912, 2912, 2912, 1234, 2912, 1564, 2912, 1235, 2912,
2622 1234, 1758, 1758, 1758, 1758, 1235, 1236, 2912, 2912, 1235,
2623 2912, 2912, 1699, 2912, 1236, 1235, 2912, 1565, 1236, 1566,
2624 2912, 1570, 2912, 2912, 1236, 1069, 1070, 1070, 1071, 2912,
2625 1235, 2912, 2912, 2912, 1235, 2912, 2912, 2912, 1236, 2912,
2626 1235, 1565, 1236, 1566, 2912, 1570, 2912, 2912, 1236, 2912,
2627 2912, 2912, 1574, 2912, 2912, 2912, 1072, 1324, 1325, 1325,
2628 1326, 1069, 1070, 1070, 1071, 1073, 1268, 395, 1269, 1270,
2629
2630 2912, 1448, 599, 1449, 1448, 2912, 2912, 1574, 2912, 2912,
2631 2912, 1072, 1263, 1268, 395, 1269, 1270, 1450, 1072, 1073,
2632 2912, 2912, 1072, 1169, 2912, 1583, 2912, 1073, 2912, 1263,
2633 2912, 1575, 2912, 1440, 590, 1441, 1440, 2912, 2912, 1675,
2634 1169, 2912, 1169, 1072, 2912, 2912, 2912, 1072, 1169, 1442,
2635 1583, 1073, 2912, 2912, 2912, 1575, 1584, 2912, 1448, 599,
2636 1449, 1448, 2912, 1675, 2912, 1169, 2912, 1169, 1698, 1698,
2637 1698, 1698, 1588, 2912, 1450, 1268, 395, 1269, 1270, 1699,
2638 1584, 2912, 1616, 1617, 1617, 1618, 2912, 1268, 395, 1269,
2639 1270, 1263, 2912, 1619, 2912, 2912, 1588, 1594, 1670, 862,
2640
2641 1670, 1670, 1169, 1263, 1169, 2912, 1268, 395, 1269, 1270,
2642 2912, 958, 2912, 1597, 1169, 2912, 1169, 2912, 1598, 2912,
2643 2912, 1594, 1263, 1705, 1705, 1705, 1705, 1169, 2912, 1169,
2644 2912, 2912, 2912, 1169, 1704, 1169, 958, 1597, 2912, 1169,
2645 2912, 1169, 2912, 1598, 2912, 1205, 1206, 1207, 1205, 1672,
2646 865, 1673, 1674, 1599, 1678, 870, 1678, 1678, 1169, 2912,
2647 1169, 1208, 1609, 1610, 1611, 1609, 2912, 2912, 1660, 853,
2648 1661, 1662, 1205, 1206, 1207, 1205, 1209, 1599, 1208, 2912,
2649 1420, 1421, 1421, 1422, 1263, 1210, 1608, 2912, 1208, 2912,
2650 2912, 962, 2912, 1209, 2912, 1169, 2912, 1169, 2912, 1546,
2651
2652 2912, 1209, 1210, 1209, 2912, 1612, 2912, 2912, 2912, 1210,
2653 1608, 2912, 1210, 1543, 1544, 1545, 1543, 1405, 1209, 963,
2654 1169, 2912, 1169, 1546, 2912, 2912, 1210, 2912, 1209, 1208,
2655 1612, 1544, 1544, 1544, 1544, 2912, 1210, 1727, 937, 1728,
2656 1729, 1405, 2912, 963, 1290, 1543, 1544, 1545, 1543, 2912,
2657 1546, 2912, 2912, 1291, 1387, 1388, 1388, 1389, 2912, 2912,
2658 2912, 1208, 1149, 1205, 1206, 1207, 1205, 2912, 1482, 1290,
2659 2912, 1150, 2912, 2912, 1546, 2912, 1290, 1291, 2912, 1208,
2660 2912, 2912, 1546, 958, 2912, 1291, 2912, 1149, 1613, 2912,
2661 2912, 1390, 1482, 2912, 1209, 1150, 1616, 1617, 1617, 1618,
2662
2663 2912, 1290, 2912, 1210, 2912, 2912, 1546, 1619, 958, 1291,
2664 2912, 2912, 2912, 1613, 2912, 1390, 2912, 2912, 2912, 1209,
2665 1216, 1217, 1218, 1216, 2912, 958, 2912, 1210, 2912, 2912,
2666 1554, 1555, 1556, 1554, 2912, 1139, 1219, 1555, 1555, 1555,
2667 1555, 2912, 1448, 599, 1449, 1448, 1219, 2912, 2912, 2912,
2668 958, 1220, 2912, 1622, 2130, 1371, 2131, 2132, 1450, 1139,
2669 1221, 1220, 2912, 1396, 1397, 1397, 1398, 1557, 1135, 2912,
2670 1221, 2912, 2912, 2912, 1482, 2912, 1220, 1136, 1622, 1554,
2671 1555, 1556, 1554, 1676, 1221, 2912, 1220, 2192, 857, 2193,
2672 2194, 1557, 958, 1135, 1221, 1219, 2912, 2912, 1482, 2912,
2673
2674 1390, 1136, 1216, 1217, 1218, 1216, 2912, 1676, 2912, 2912,
2675 1220, 2912, 1649, 1649, 1649, 1649, 1557, 958, 1219, 1221,
2676 1624, 1625, 1625, 1626, 1390, 2912, 2912, 1623, 2912, 2912,
2677 2912, 1627, 150, 1220, 2912, 1220, 1402, 1403, 1403, 1404,
2678 1557, 2912, 1221, 1221, 2912, 1500, 1500, 1500, 1500, 958,
2679 2912, 2912, 1623, 1069, 1070, 1070, 1071, 2912, 1220, 1139,
2680 2912, 1640, 1641, 1641, 1642, 2912, 1221, 1072, 1629, 1630,
2681 1630, 1631, 1632, 1405, 958, 2912, 1073, 2912, 2912, 1632,
2682 2912, 2912, 1482, 1139, 1072, 1231, 1232, 1233, 1231, 2912,
2683 2912, 2912, 1072, 1628, 2912, 2912, 2912, 1405, 962, 1072,
2684
2685 1073, 1234, 1635, 1636, 1637, 1635, 1482, 1152, 1073, 1072,
2686 2912, 2912, 1231, 1232, 1233, 1231, 1235, 1628, 1234, 2912,
2687 2912, 2912, 962, 2912, 1072, 1236, 1634, 2912, 1234, 2912,
2688 2912, 1152, 1073, 1235, 2912, 1624, 1625, 1625, 1626, 1570,
2689 2912, 1235, 1236, 1235, 2912, 1638, 1627, 2912, 2912, 1236,
2690 1634, 2912, 1236, 1567, 1568, 1569, 1567, 2912, 1235, 1652,
2691 162, 1652, 1652, 1570, 958, 2912, 1236, 2912, 1235, 1234,
2692 1638, 1568, 1568, 1568, 1568, 2912, 1236, 2912, 2912, 1655,
2693 1708, 1709, 1709, 1710, 1312, 1567, 1568, 1569, 1567, 958,
2694 1570, 1711, 2912, 1313, 1413, 1414, 1414, 1415, 2912, 2912,
2695
2696 2912, 1234, 1144, 1231, 1232, 1233, 1231, 2912, 1482, 1312,
2697 2912, 1145, 2912, 2912, 1570, 2912, 1312, 1313, 2912, 1234,
2698 2912, 2912, 1570, 962, 2912, 1313, 2912, 1144, 1639, 2912,
2699 2912, 1416, 1482, 2912, 1235, 1145, 1640, 1641, 1641, 1642,
2700 2912, 1312, 2912, 1236, 2912, 2912, 1570, 1632, 962, 1313,
2701 2912, 2912, 2912, 1639, 2912, 1416, 2912, 2912, 2912, 1235,
2702 2912, 1649, 1649, 1649, 1650, 962, 1716, 1236, 2912, 2912,
2703 2912, 1786, 387, 1787, 1788, 1148, 2912, 2912, 140, 2912,
2704 140, 150, 2912, 1670, 862, 1670, 1670, 1789, 2912, 2912,
2705 962, 2198, 862, 2198, 2198, 2200, 865, 2201, 2202, 1148,
2706
2707 1651, 1652, 162, 1652, 1653, 1651, 1651, 1651, 1651, 1651,
2708 1651, 1651, 1651, 1651, 1651, 1651, 1651, 1651, 2912, 1651,
2709 1738, 1655, 1651, 1651, 1651, 1651, 1268, 395, 1269, 1270,
2710 1268, 395, 1269, 1270, 2912, 1268, 395, 1269, 1270, 2912,
2711 2912, 2912, 1263, 2912, 1738, 2912, 1263, 2912, 2912, 1651,
2712 2912, 1263, 2912, 1169, 2912, 1169, 2912, 1169, 2912, 1679,
2713 2912, 2912, 1169, 2912, 1169, 2912, 2912, 1659, 2912, 1681,
2714 874, 1682, 1683, 1651, 1651, 2912, 2912, 2912, 1169, 1680,
2715 1169, 2912, 1169, 2912, 1679, 1263, 2912, 1169, 2912, 1169,
2716 2912, 1659, 2206, 870, 2206, 2206, 1169, 2912, 1169, 1461,
2717
2718 1462, 1462, 1463, 1680, 1687, 1688, 1688, 1689, 1467, 1468,
2719 1468, 1469, 2912, 2912, 2912, 1627, 1205, 1206, 1207, 1205,
2720 2912, 1169, 2912, 1169, 1758, 1758, 1758, 1758, 958, 2912,
2721 2912, 2912, 1208, 958, 2912, 1699, 1390, 962, 2912, 1691,
2722 1692, 1692, 1693, 1139, 2912, 1416, 2912, 1209, 2912, 1694,
2723 1647, 2912, 2912, 958, 2912, 2912, 1210, 2912, 958, 2912,
2724 1390, 2912, 962, 1609, 1610, 1611, 1609, 1139, 962, 1416,
2725 2912, 2912, 1209, 2912, 1694, 2912, 2912, 2912, 1148, 1208,
2726 1210, 1610, 1610, 1610, 1610, 1609, 1610, 1611, 1609, 2260,
2727 937, 2261, 2262, 962, 1290, 2912, 1678, 870, 1678, 1678,
2728
2729 1695, 1208, 1148, 1291, 1205, 1206, 1207, 1205, 1727, 937,
2730 1728, 1729, 1149, 2912, 2912, 2912, 1290, 2912, 1477, 1290,
2731 1208, 1150, 1695, 2912, 1695, 1291, 2912, 1291, 2912, 2912,
2732 2912, 1696, 2912, 1731, 2912, 1209, 2912, 1149, 2912, 2912,
2733 2912, 1290, 1477, 2912, 1210, 1150, 1695, 2912, 2912, 1291,
2734 1205, 1206, 1207, 1205, 2912, 2912, 1696, 1731, 2912, 2912,
2735 1209, 2912, 1700, 1701, 1701, 1702, 1208, 2912, 1210, 2130,
2736 1371, 2131, 2132, 1703, 2912, 2912, 2912, 1616, 1617, 1617,
2737 1616, 1209, 2912, 2912, 1616, 1617, 1617, 1616, 1704, 2912,
2738 1697, 958, 2912, 1055, 2912, 1704, 1216, 1217, 1218, 1216,
2739
2740 1055, 1139, 1971, 1186, 1971, 1971, 1209, 2912, 1135, 2912,
2741 2912, 2912, 1219, 1972, 1697, 1135, 958, 1136, 1216, 1217,
2742 1218, 1216, 2912, 2912, 1136, 1139, 2912, 1220, 1624, 1625,
2743 1625, 1624, 2912, 1135, 1219, 2912, 1707, 2912, 2912, 1187,
2744 1135, 1136, 2912, 2912, 1055, 1706, 2912, 2912, 1136, 1220,
2745 2912, 2912, 1220, 1815, 1033, 1816, 1815, 2912, 1221, 1135,
2746 1707, 2912, 1624, 1625, 1625, 1624, 2912, 2912, 1136, 1442,
2747 1706, 2912, 2912, 1187, 1220, 2912, 2912, 2912, 1055, 2912,
2748 2912, 2912, 1221, 2912, 1135, 1629, 1630, 1630, 1629, 2912,
2749 2912, 2912, 1136, 1135, 2912, 2912, 1704, 1629, 1630, 1630,
2750
2751 1629, 1049, 1136, 1691, 1692, 1692, 1693, 2912, 1704, 2171,
2752 1414, 2172, 2173, 1049, 1647, 2912, 1149, 2912, 1135, 1231,
2753 1232, 1233, 1231, 2912, 2912, 1150, 1136, 2912, 1149, 1635,
2754 1636, 1637, 1635, 2912, 2912, 1234, 2912, 1150, 2912, 2912,
2755 962, 1149, 2912, 2912, 2912, 1234, 2174, 2912, 2912, 1150,
2756 1235, 2912, 1712, 1149, 2912, 1636, 1636, 1636, 1636, 1236,
2757 1312, 1150, 2912, 2912, 962, 2912, 1713, 2912, 2912, 1313,
2758 2174, 1440, 590, 1441, 1440, 1235, 2912, 1712, 1635, 1636,
2759 1637, 1635, 2912, 1236, 2912, 1312, 1144, 1442, 2912, 2912,
2760 1713, 2912, 1532, 1313, 1234, 1145, 1231, 1232, 1233, 1231,
2761
2762 1735, 1231, 1232, 1233, 1231, 1822, 1041, 1823, 1822, 1312,
2763 2912, 1144, 1234, 2912, 2912, 1713, 1532, 1234, 1313, 1145,
2764 2912, 1450, 2912, 1714, 2912, 1735, 2912, 1235, 2192, 857,
2765 2193, 2194, 1235, 2912, 1312, 2912, 1236, 2912, 2912, 1713,
2766 2912, 1715, 1313, 1640, 1641, 1641, 1640, 2912, 1714, 2912,
2767 2912, 2912, 1235, 2912, 1704, 2912, 2912, 1235, 2912, 1066,
2768 1236, 1640, 1641, 1641, 1640, 1715, 1660, 853, 1661, 1660,
2769 2912, 2912, 1704, 2912, 1144, 2912, 2912, 1066, 1644, 1645,
2770 1645, 1644, 1263, 1145, 1644, 1645, 1645, 1644, 2912, 1187,
2771 2912, 2912, 1144, 2912, 1049, 1187, 2912, 1351, 2912, 1144,
2772
2773 1049, 1145, 2912, 1731, 2912, 2912, 1352, 1145, 2912, 1149,
2774 1946, 590, 1947, 1946, 2912, 1149, 2912, 1144, 1150, 2912,
2775 2912, 2912, 1351, 2912, 1150, 1145, 1948, 1731, 2912, 2912,
2776 1352, 2912, 2912, 2912, 1149, 2198, 862, 2198, 2198, 2912,
2777 1149, 2912, 1150, 2912, 1664, 857, 1665, 1666, 1150, 1651,
2778 1652, 162, 1652, 1653, 1651, 1651, 1651, 1651, 1651, 1651,
2779 1651, 1651, 1651, 1651, 1651, 1651, 1651, 2912, 1651, 2912,
2780 1655, 1651, 1651, 1651, 1651, 1348, 1954, 599, 1955, 1954,
2781 2912, 1734, 2912, 2912, 1349, 1977, 1193, 1978, 1979, 2912,
2782 2912, 2912, 1956, 2912, 2912, 2912, 1976, 2912, 1651, 2912,
2783
2784 1348, 1982, 1197, 1982, 1982, 1734, 2912, 2912, 1349, 2912,
2785 2912, 2912, 1972, 2200, 865, 2201, 2202, 2206, 870, 2206,
2786 2206, 2912, 1651, 1651, 1651, 1718, 288, 1719, 1720, 1651,
2787 1651, 1651, 1651, 1651, 1651, 1651, 1721, 1721, 1651, 1651,
2788 1651, 1651, 1722, 1651, 1722, 1651, 1651, 1651, 1651, 1651,
2789 1722, 1722, 1723, 1722, 1724, 1722, 1722, 1722, 1722, 1722,
2790 1722, 1722, 1722, 1722, 1722, 1722, 1722, 1722, 1722, 1722,
2791 1722, 1722, 1722, 1651, 1722, 1722, 1722, 1723, 1722, 1724,
2792 1722, 1722, 1722, 1722, 1722, 1722, 1722, 1722, 1722, 1722,
2793 1722, 1722, 1722, 1722, 1722, 1722, 1722, 1651, 1651, 1268,
2794
2795 395, 1269, 1270, 1660, 853, 1661, 1660, 1983, 1199, 1984,
2796 1985, 1440, 590, 1441, 1440, 1263, 2912, 2912, 1976, 1263,
2797 2912, 1664, 857, 1665, 1664, 2912, 1169, 1442, 1169, 2912,
2798 2912, 2912, 1730, 2912, 1351, 2912, 2912, 1267, 2912, 2912,
2799 1731, 2912, 2912, 1352, 2912, 2912, 2912, 1448, 599, 1449,
2800 1448, 1169, 1346, 1169, 1736, 2912, 2912, 1730, 1731, 1351,
2801 2912, 1347, 2912, 1450, 1731, 2912, 2912, 1352, 1660, 853,
2802 1661, 1662, 1664, 857, 1665, 1664, 1741, 1346, 1736, 2912,
2803 2912, 2912, 1731, 2912, 1263, 1347, 2912, 2912, 1267, 2912,
2804 1672, 865, 1673, 1672, 2912, 1169, 2912, 1169, 2912, 1339,
2805
2806 2912, 1741, 2912, 1346, 2912, 1732, 1267, 2912, 1340, 1731,
2807 2912, 2912, 1347, 2912, 2912, 1448, 599, 1449, 1448, 2912,
2808 1169, 1346, 1169, 2912, 1339, 2912, 2912, 1738, 1346, 1732,
2809 1347, 1450, 1340, 1731, 2912, 2912, 1347, 1672, 865, 1673,
2810 1672, 1672, 865, 1673, 1674, 2912, 1346, 2260, 937, 2261,
2811 2262, 1738, 2912, 1267, 1347, 2912, 2912, 2912, 1742, 1687,
2812 1688, 1688, 1689, 1268, 395, 1269, 1270, 2912, 1346, 2912,
2813 1627, 2912, 1348, 2912, 1738, 2912, 2912, 1347, 1740, 1263,
2814 2912, 1349, 1742, 1268, 395, 1269, 1270, 2912, 958, 2912,
2815 1169, 2912, 1169, 1346, 2912, 2912, 2912, 1348, 1738, 1263,
2816
2817 2912, 1347, 1740, 2912, 1745, 1349, 1946, 590, 1947, 1946,
2818 1169, 2912, 1169, 958, 2912, 1169, 2912, 1169, 2912, 2912,
2819 2912, 1744, 1948, 1440, 590, 1441, 1440, 2912, 1745, 1700,
2820 1701, 1701, 1702, 2912, 2912, 1169, 2912, 1169, 2912, 1442,
2821 1703, 2662, 1371, 2663, 2664, 1744, 1096, 1746, 956, 1747,
2822 1748, 1096, 1096, 1096, 1096, 1096, 1096, 1096, 958, 2912,
2823 1096, 1096, 1096, 1263, 1814, 1096, 2912, 1096, 1096, 1096,
2824 1096, 1096, 2912, 2912, 1448, 599, 1449, 1448, 1750, 2260,
2825 937, 2261, 2262, 958, 2912, 2912, 2912, 1751, 1814, 2912,
2826 1450, 1971, 1186, 1971, 1971, 1096, 1946, 590, 1947, 1946,
2827
2828 2912, 2912, 1972, 1750, 2912, 1759, 1760, 1760, 1761, 2912,
2829 2912, 1751, 2037, 2912, 2912, 1821, 1703, 2912, 2912, 1096,
2830 1096, 1096, 1746, 956, 1747, 1748, 1096, 1096, 1096, 1096,
2831 1096, 1096, 1096, 2912, 958, 1096, 1096, 1096, 1263, 1821,
2832 1096, 2912, 1096, 1096, 1096, 1096, 1096, 1954, 599, 1955,
2833 1954, 2912, 2912, 1750, 2912, 1954, 599, 1955, 1954, 958,
2834 2912, 2912, 1751, 1956, 1815, 1033, 1816, 1815, 2912, 2912,
2835 1096, 2044, 1982, 1197, 1982, 1982, 2912, 2912, 1750, 2912,
2836 1442, 2912, 2912, 1972, 2912, 2912, 1751, 2662, 1371, 2663,
2837 2664, 2912, 2912, 2912, 1096, 1096, 1096, 1746, 956, 1747,
2838
2839 1752, 1096, 1096, 1096, 1096, 1096, 1096, 1096, 1753, 1753,
2840 1096, 1096, 1096, 1263, 1754, 1096, 1754, 1096, 1096, 1096,
2841 1096, 1096, 1754, 1754, 1754, 1754, 1754, 1754, 1755, 1754,
2842 1754, 1754, 1754, 1754, 1754, 1754, 1754, 1756, 1754, 1754,
2843 1754, 1754, 1754, 1754, 1754, 1096, 1754, 1754, 1754, 1754,
2844 1754, 1754, 1754, 1755, 1754, 1754, 1754, 1754, 1754, 1754,
2845 1754, 1756, 1754, 1754, 1754, 1754, 1754, 1754, 1754, 1096,
2846 1096, 1759, 1760, 1760, 1761, 1687, 1688, 1688, 1687, 2912,
2847 2912, 2912, 1703, 2912, 2912, 2912, 1187, 1687, 1688, 1688,
2848 1687, 1055, 2912, 1762, 1763, 1763, 1764, 2912, 1187, 2912,
2849
2850 958, 2912, 2912, 1055, 1711, 2912, 1135, 2912, 2912, 2912,
2851 1139, 2912, 2912, 2912, 2912, 1136, 2912, 2912, 1135, 2912,
2852 2912, 2912, 962, 2912, 2912, 958, 2912, 1136, 2912, 2912,
2853 2912, 1135, 1148, 2912, 1139, 2497, 1698, 2497, 2497, 1136,
2854 2912, 2912, 2912, 1135, 2912, 2912, 2498, 962, 2912, 2912,
2855 2912, 1136, 1691, 1692, 1692, 1691, 1148, 2912, 1691, 1692,
2856 1692, 1691, 2912, 1187, 1440, 590, 1441, 1440, 1066, 1187,
2857 1205, 1206, 1207, 1205, 1066, 1205, 1206, 1207, 1205, 2912,
2858 1442, 2912, 2912, 1144, 2912, 2912, 1208, 2912, 2912, 1144,
2859 2912, 1208, 1145, 2912, 2912, 1766, 2912, 2912, 1145, 2912,
2860
2861 2912, 1209, 1765, 2912, 2059, 2912, 1209, 2912, 1144, 2912,
2862 1210, 2912, 2912, 2912, 1144, 1210, 1145, 2912, 2912, 2912,
2863 1766, 2912, 1145, 2912, 2912, 2912, 1209, 1765, 2059, 2912,
2864 2912, 1209, 2912, 2912, 1210, 1543, 1544, 1545, 1543, 1210,
2865 2912, 2912, 1700, 1701, 1701, 1700, 1822, 1041, 1823, 1822,
2866 2912, 1208, 2912, 1699, 1700, 1701, 1701, 1700, 1055, 2912,
2867 2912, 2912, 1450, 2912, 2912, 1699, 1209, 2912, 2912, 2912,
2868 1055, 2912, 1546, 1135, 2912, 1210, 2912, 1554, 1555, 1556,
2869 1554, 2912, 1136, 2912, 2912, 1135, 1762, 1763, 1763, 1764,
2870 2912, 1209, 2912, 1219, 1136, 2912, 1546, 1711, 1135, 1210,
2871
2872 1767, 1768, 1769, 1767, 2912, 2912, 1136, 2912, 1220, 2912,
2873 1135, 1770, 2912, 2912, 1557, 2912, 1208, 1221, 1136, 1708,
2874 1709, 1709, 1708, 962, 2912, 2504, 1705, 2504, 2504, 2912,
2875 1699, 1209, 2912, 1220, 2912, 1049, 2503, 2912, 1557, 1294,
2876 1210, 1221, 1708, 1709, 1709, 1708, 2912, 962, 2912, 2912,
2877 1149, 2912, 2912, 1699, 2912, 2912, 1209, 2912, 1049, 1150,
2878 2912, 2912, 2912, 1294, 1210, 1771, 1772, 1773, 1771, 2912,
2879 2912, 2912, 2912, 1149, 2912, 1149, 1774, 1231, 1232, 1233,
2880 1231, 1219, 1150, 1150, 1231, 1232, 1233, 1231, 1973, 1189,
2881 1974, 1975, 2912, 1234, 2912, 2912, 1220, 2912, 1149, 1976,
2882
2883 1234, 2912, 1776, 2912, 1301, 1221, 1150, 2912, 1235, 2912,
2884 2912, 1775, 2912, 2912, 2912, 1235, 2912, 1236, 2912, 2912,
2885 2912, 1220, 2912, 2912, 1236, 1169, 2912, 1776, 1301, 1221,
2886 2912, 2912, 2912, 1235, 2912, 2912, 1775, 2912, 2912, 2912,
2887 1235, 1236, 1567, 1568, 1569, 1567, 2912, 2912, 1236, 1169,
2888 2912, 2912, 2912, 2912, 1440, 590, 1441, 1440, 1234, 1794,
2889 395, 1795, 1796, 2912, 2912, 1794, 395, 1795, 1796, 2912,
2890 1442, 2912, 2912, 1235, 2912, 1789, 2912, 2912, 2912, 1570,
2891 2912, 1789, 1236, 2912, 2912, 2912, 1722, 1899, 1722, 2912,
2892 2912, 2912, 1722, 2912, 1722, 2912, 2912, 2912, 1235, 1946,
2893
2894 590, 1947, 1946, 1570, 1797, 2912, 1236, 1777, 1778, 1779,
2895 1777, 1722, 1899, 1722, 2912, 2037, 2912, 1722, 1780, 1722,
2896 2912, 2912, 2912, 1234, 1268, 395, 1269, 1270, 1797, 1794,
2897 395, 1795, 1796, 2912, 1440, 590, 1441, 1440, 1235, 2912,
2898 1263, 1440, 590, 1441, 1440, 1789, 1316, 1236, 2912, 2912,
2899 1442, 1809, 2912, 1169, 2912, 2912, 1722, 1442, 1722, 1981,
2900 2912, 2912, 2912, 1235, 2912, 2912, 2912, 1798, 1980, 2912,
2901 1316, 1236, 162, 162, 162, 163, 1809, 1781, 1169, 2912,
2902 2912, 1722, 2912, 1722, 1981, 1781, 1781, 2912, 1781, 140,
2903 1781, 1798, 150, 1980, 1781, 1781, 1781, 1651, 1719, 288,
2904
2905 1719, 1783, 1651, 1651, 1651, 1651, 1651, 1651, 1651, 2912,
2906 2912, 1651, 1651, 1651, 1651, 2912, 1651, 2912, 1651, 1651,
2907 1651, 1651, 1651, 2912, 2912, 1784, 2912, 1785, 1801, 1019,
2908 1802, 1803, 1448, 599, 1449, 1448, 2912, 1954, 599, 1955,
2909 1954, 2912, 2912, 2912, 1267, 2912, 1651, 2912, 1450, 2912,
2910 1784, 2912, 1785, 2044, 2912, 2525, 1186, 2525, 2525, 1804,
2911 2912, 2912, 2912, 2912, 2912, 1903, 2526, 2912, 1805, 2912,
2912 1651, 1651, 1651, 1790, 391, 1791, 1792, 1651, 1651, 1651,
2913 1651, 1651, 1651, 1651, 1804, 2912, 1651, 1651, 1651, 1793,
2914 1903, 1651, 1805, 1651, 1651, 1651, 1651, 1651, 1801, 1019,
2915
2916 1802, 1803, 2912, 1268, 395, 1269, 1270, 1860, 480, 1861,
2917 1862, 2912, 2912, 2912, 1267, 2220, 1468, 2221, 2222, 1263,
2918 2912, 1651, 2912, 1448, 599, 1449, 1448, 2912, 1811, 1804,
2919 1169, 2912, 1169, 2912, 1784, 2912, 1785, 2912, 1805, 1450,
2920 2133, 1374, 2134, 2133, 2912, 1651, 1651, 2912, 2912, 2912,
2921 1986, 2135, 2174, 1811, 1804, 1169, 1442, 1169, 2912, 1784,
2922 2912, 1785, 1805, 1096, 1801, 1019, 1802, 1806, 1096, 1096,
2923 1096, 1096, 1096, 1096, 1096, 1986, 2174, 1096, 1096, 1096,
2924 1267, 2912, 1096, 2912, 1096, 1096, 1096, 1096, 1096, 1268,
2925 395, 1269, 1270, 2912, 2912, 1807, 2912, 1268, 395, 1269,
2926
2927 1270, 2912, 2912, 2912, 1808, 1263, 2912, 1440, 590, 1441,
2928 1440, 2912, 1096, 1263, 2912, 2912, 1169, 2912, 1169, 2912,
2929 1807, 2912, 1826, 1442, 1169, 2912, 1169, 2912, 1808, 2912,
2930 2912, 2912, 1825, 2912, 2912, 2912, 1096, 1096, 2912, 2912,
2931 2912, 1169, 2912, 1169, 2912, 2912, 2912, 1826, 2912, 1169,
2932 2912, 1169, 1827, 1047, 1828, 1829, 1825, 1833, 1053, 1834,
2933 1835, 2912, 2912, 2912, 1833, 1053, 1834, 1835, 1830, 1827,
2934 1047, 1828, 1841, 1836, 2912, 1833, 1053, 1834, 1835, 2912,
2935 1836, 2912, 2912, 1831, 2912, 1830, 2912, 2912, 1837, 2912,
2936 2912, 1836, 1832, 1839, 2912, 1837, 2912, 1838, 2912, 2912,
2937
2938 1842, 2912, 2912, 2912, 1838, 2912, 1837, 2912, 1831, 1843,
2939 2912, 2912, 2912, 1837, 1840, 1838, 1832, 2912, 1839, 2912,
2940 1837, 1838, 2912, 2912, 2912, 1842, 2912, 2912, 1838, 2912,
2941 2912, 1837, 2912, 1843, 2234, 1481, 2234, 2234, 1840, 1838,
2942 1096, 1844, 1064, 1845, 1846, 1096, 1096, 1096, 1096, 1096,
2943 1096, 1096, 2912, 2912, 1096, 1096, 1096, 1847, 2912, 1096,
2944 2912, 1096, 1096, 1096, 1096, 1096, 2912, 1850, 1070, 1851,
2945 1852, 2235, 1848, 2245, 1492, 2245, 2245, 2912, 2912, 2912,
2946 2912, 1849, 2912, 1830, 2912, 1850, 1070, 1851, 1852, 1096,
2947 1850, 1070, 1851, 1852, 2912, 2235, 2912, 1848, 1853, 2912,
2948
2949 2912, 1830, 2912, 2912, 2912, 1849, 1830, 1854, 2912, 2912,
2950 2235, 2912, 2912, 1096, 1096, 2912, 1853, 2912, 2912, 1855,
2951 2912, 1853, 2912, 1853, 1856, 1854, 1759, 1760, 1760, 1759,
2952 1854, 1854, 2912, 2912, 2235, 2912, 2912, 1699, 2912, 2912,
2953 2912, 1853, 1055, 2912, 1855, 2912, 1853, 2912, 1856, 1854,
2954 2912, 2912, 2912, 2912, 1854, 2912, 2912, 1135, 1759, 1760,
2955 1760, 1759, 1762, 1763, 1763, 1762, 1136, 2912, 2912, 1699,
2956 2912, 2912, 2912, 1699, 1055, 2912, 2912, 2912, 1066, 2912,
2957 2912, 2912, 1135, 2912, 1762, 1763, 1763, 1762, 2912, 1135,
2958 1136, 2912, 2912, 1144, 2912, 1699, 2912, 2912, 1136, 2912,
2959
2960 1066, 2912, 1145, 2912, 1609, 1610, 1611, 1609, 2912, 1946,
2961 590, 1947, 1946, 2912, 1135, 1144, 2912, 2912, 1144, 2912,
2962 1208, 2912, 1136, 2912, 1145, 1948, 1145, 1205, 1206, 1207,
2963 1205, 1767, 1768, 1769, 1767, 1209, 2912, 2912, 2107, 2912,
2964 1144, 1546, 1770, 1208, 1210, 2912, 2912, 1208, 1145, 2912,
2965 1768, 1768, 1768, 1768, 1205, 1206, 1207, 1205, 1209, 2912,
2966 1209, 1704, 1290, 2107, 2912, 1546, 2912, 1858, 1210, 2912,
2967 1208, 1291, 2912, 1767, 1768, 1769, 1767, 1771, 1772, 1773,
2968 1771, 1149, 2912, 1209, 1770, 1209, 2912, 1290, 1774, 1208,
2969 1150, 1858, 2912, 1219, 1210, 1291, 1772, 1772, 1772, 1772,
2970
2971 1771, 1772, 1773, 1771, 1290, 2912, 1149, 1704, 1220, 2912,
2972 1209, 1774, 2912, 1291, 1150, 2912, 1219, 1221, 1210, 1216,
2973 1217, 1218, 1216, 1448, 599, 1449, 1448, 1135, 2912, 1290,
2974 2912, 1220, 2912, 1220, 2912, 1219, 1136, 1291, 2912, 1450,
2975 1221, 1221, 1635, 1636, 1637, 1635, 1231, 1232, 1233, 1231,
2976 1220, 2912, 1135, 2912, 2912, 2912, 1220, 2912, 1234, 1221,
2977 1136, 2912, 1234, 2060, 1221, 1777, 1778, 1779, 1777, 1778,
2978 1778, 1778, 1778, 1235, 2912, 1220, 1780, 1235, 2912, 1570,
2979 1704, 1234, 1236, 1221, 2912, 2912, 1859, 2060, 1777, 1778,
2980 1779, 1777, 1231, 1232, 1233, 1231, 1312, 2912, 1235, 1780,
2981
2982 1144, 2912, 1235, 1570, 1234, 1313, 1236, 2912, 1234, 1145,
2983 1859, 2912, 2912, 2912, 1954, 599, 1955, 1954, 2912, 1312,
2984 2912, 1312, 2912, 1235, 2912, 1144, 2912, 2912, 1313, 1313,
2985 1956, 2912, 1236, 1145, 1681, 874, 1682, 1683, 1786, 387,
2986 1787, 1788, 2912, 2113, 1312, 2912, 2912, 2912, 1235, 2912,
2987 1263, 2912, 1313, 2912, 1789, 2912, 1236, 162, 162, 162,
2988 163, 1169, 1781, 1169, 2912, 2912, 2912, 2912, 2113, 1865,
2989 1781, 1781, 2912, 1781, 140, 1781, 2912, 150, 1866, 1781,
2990 1781, 1781, 1786, 387, 1787, 1788, 1169, 2912, 1169, 1884,
2991 1111, 1885, 1891, 2912, 1865, 2912, 2912, 2912, 1789, 2912,
2992
2993 2912, 2912, 1866, 1867, 387, 1787, 1868, 2912, 1790, 391,
2994 1791, 1790, 2912, 1865, 2912, 1448, 599, 1449, 1448, 1789,
2995 1892, 2912, 1866, 2912, 1793, 1790, 391, 1791, 1790, 1893,
2996 1784, 1450, 1785, 2912, 1869, 2912, 2912, 2912, 1865, 1872,
2997 2912, 1793, 2912, 1870, 2912, 1892, 1866, 2912, 1873, 2912,
2998 2912, 2912, 2912, 1893, 2912, 1784, 1872, 1785, 2912, 1869,
2999 1794, 395, 1795, 1794, 1872, 1873, 2912, 1870, 1794, 395,
3000 1795, 1796, 1873, 2912, 2912, 2912, 1789, 1794, 395, 1795,
3001 1794, 1872, 2912, 2912, 1789, 2136, 1378, 2137, 2136, 1873,
3002 2912, 1877, 2912, 1789, 2912, 1722, 2138, 1722, 2912, 2912,
3003
3004 1878, 1450, 2912, 1268, 395, 1269, 1270, 2912, 1877, 2912,
3005 2912, 1881, 2428, 1641, 2429, 2430, 1877, 1878, 2912, 1263,
3006 1722, 2912, 1722, 2421, 1878, 1268, 395, 1269, 1270, 2912,
3007 1169, 2912, 1169, 1877, 2912, 1881, 1801, 1019, 1802, 1803,
3008 2912, 1878, 1794, 395, 1795, 1796, 1905, 1801, 1019, 1802,
3009 1803, 1922, 1267, 2912, 2912, 1169, 1169, 1169, 1789, 2912,
3010 2912, 2912, 1169, 1267, 2912, 1897, 2912, 1804, 2912, 1722,
3011 1905, 1722, 2912, 1865, 2912, 1922, 1805, 2912, 1804, 2912,
3012 2912, 1169, 1866, 2912, 2912, 2912, 1169, 1805, 2912, 1897,
3013 2912, 2912, 1804, 2912, 1722, 2912, 1722, 2912, 1865, 2912,
3014
3015 1805, 2912, 2912, 1804, 2912, 2912, 1866, 1794, 395, 1795,
3016 1796, 1805, 2912, 2912, 2912, 1884, 1111, 1885, 1886, 1946,
3017 590, 1947, 1946, 1789, 2912, 2912, 2912, 1833, 1053, 1834,
3018 1835, 1847, 2912, 2912, 1722, 1948, 1722, 1946, 590, 1947,
3019 1946, 2912, 2912, 1836, 1879, 1880, 1887, 1833, 1053, 1834,
3020 1835, 2912, 2912, 1948, 2912, 1888, 1889, 2912, 1837, 1722,
3021 2196, 1722, 2912, 1836, 2912, 2912, 2912, 1838, 1879, 1880,
3022 2912, 1887, 1954, 599, 1955, 1954, 2108, 2912, 1837, 1888,
3023 2912, 1889, 2912, 1837, 2196, 2912, 1890, 1838, 1956, 2912,
3024 2912, 1838, 1815, 1033, 1816, 1815, 1815, 1033, 1816, 1815,
3025
3026 2108, 2912, 2912, 1837, 2912, 2912, 2912, 2912, 1442, 2912,
3027 1890, 1838, 1442, 2204, 1822, 1041, 1823, 1822, 1822, 1041,
3028 1823, 1822, 2912, 1515, 2912, 2912, 2912, 1515, 2912, 1900,
3029 1450, 2912, 1516, 1900, 1450, 2912, 1516, 2204, 2912, 2253,
3030 1500, 2253, 2253, 2912, 2912, 1522, 2912, 2912, 1515, 1522,
3031 2912, 1904, 1515, 1900, 1523, 1904, 1516, 1900, 1523, 2912,
3032 1516, 1827, 1047, 1828, 1829, 1827, 1047, 1828, 1829, 2912,
3033 1522, 2912, 2912, 2912, 1522, 1904, 2235, 1830, 1523, 1904,
3034 2912, 1830, 1523, 1827, 1047, 1828, 1829, 1833, 1053, 1834,
3035 1835, 2912, 1831, 2912, 2912, 2912, 1831, 2912, 2912, 1830,
3036
3037 2235, 1832, 2912, 1836, 2912, 1832, 1833, 1053, 1834, 1835,
3038 1954, 599, 1955, 1954, 1831, 2912, 1907, 1831, 1837, 2912,
3039 2912, 1831, 1836, 1832, 2912, 1832, 1956, 1838, 2912, 1832,
3040 2912, 2912, 1833, 1053, 1834, 1833, 2912, 1837, 2912, 1831,
3041 2912, 1907, 2912, 1837, 2912, 1908, 1838, 1832, 1836, 2114,
3042 2912, 1838, 1833, 1053, 1834, 1833, 2912, 2912, 1833, 1053,
3043 1834, 1835, 1837, 1909, 1833, 1053, 1834, 1835, 1836, 1908,
3044 1838, 2912, 1910, 2114, 1836, 2349, 1033, 2350, 2349, 2912,
3045 1836, 2912, 2912, 1909, 1833, 1053, 1834, 1835, 1909, 1837,
3046 2912, 1948, 1910, 1912, 2912, 1837, 1910, 2912, 1838, 2912,
3047
3048 1836, 2912, 2912, 2912, 1838, 2912, 2912, 2912, 1909, 2912,
3049 1833, 1053, 1834, 1835, 1837, 1837, 1910, 2912, 1912, 2912,
3050 1837, 2912, 1838, 1913, 1838, 2912, 1836, 2912, 1838, 1833,
3051 1053, 1834, 1835, 1827, 1047, 1828, 1841, 2912, 2912, 2912,
3052 1837, 1837, 2912, 2912, 2912, 1836, 2912, 1913, 1838, 1830,
3053 1838, 1915, 1850, 1070, 1851, 1852, 1850, 1070, 1851, 1852,
3054 1837, 2912, 2912, 2912, 1842, 2912, 1837, 1914, 1830, 1838,
3055 2912, 2912, 1830, 1843, 1838, 1915, 2912, 2531, 1193, 2532,
3056 2533, 1916, 2912, 1853, 2912, 1837, 2912, 1853, 2530, 1842,
3057 2912, 1914, 1854, 1838, 2912, 1917, 1854, 1843, 1844, 1064,
3058
3059 1845, 1844, 1844, 1064, 1845, 1844, 1916, 2912, 1853, 2912,
3060 2912, 2912, 1853, 2912, 1847, 2912, 1854, 2912, 1847, 1917,
3061 1854, 1850, 1070, 1851, 1850, 1850, 1070, 1851, 1850, 1918,
3062 2912, 2912, 2912, 1918, 2912, 2912, 2912, 1830, 1919, 2912,
3063 2912, 1830, 1919, 1850, 1070, 1851, 1852, 1850, 1070, 1851,
3064 1852, 2912, 1923, 2912, 1918, 2912, 1923, 2912, 1918, 1830,
3065 2912, 1924, 1919, 1830, 2912, 1924, 1919, 2198, 862, 2198,
3066 2198, 2912, 2912, 2912, 1842, 2912, 1925, 1923, 1853, 2912,
3067 2912, 1923, 2912, 1843, 2912, 1924, 2912, 1854, 2912, 1924,
3068 1850, 1070, 1851, 1852, 1850, 1070, 1851, 1852, 2912, 1842,
3069
3070 2912, 1925, 2912, 1853, 2271, 2912, 1830, 1843, 2912, 2912,
3071 1830, 1854, 2912, 2912, 2912, 1794, 395, 1795, 1796, 2912,
3072 2912, 1853, 2912, 2912, 2912, 1853, 2912, 2912, 2271, 1926,
3073 1854, 1789, 1927, 2912, 1854, 1850, 1070, 1851, 1852, 2912,
3074 2912, 2912, 1722, 2912, 1941, 2912, 1853, 2912, 2912, 2912,
3075 1853, 1830, 2912, 1926, 1854, 2912, 1927, 2912, 1854, 2912,
3076 2912, 1973, 1189, 1974, 1975, 2912, 1853, 1722, 2912, 1941,
3077 2912, 2912, 1976, 2912, 2912, 1854, 1928, 1263, 2912, 1794,
3078 395, 1795, 1796, 1884, 1111, 1885, 1891, 2912, 1169, 2912,
3079 1169, 1853, 2912, 2912, 2912, 1789, 2912, 2912, 2912, 1854,
3080
3081 1928, 1930, 1931, 1932, 1930, 2912, 1722, 2912, 1722, 2912,
3082 2912, 2912, 1933, 1169, 1892, 1169, 2912, 1208, 1942, 1794,
3083 395, 1795, 1796, 1893, 2912, 2912, 2912, 1794, 395, 1795,
3084 1796, 1722, 1209, 1722, 2912, 1789, 2912, 2912, 2912, 1892,
3085 1294, 1210, 1942, 1789, 2912, 2912, 1722, 1893, 1722, 2206,
3086 870, 2206, 2206, 2912, 1722, 2912, 2103, 1209, 2912, 1959,
3087 1794, 395, 1795, 1796, 1294, 1210, 1934, 1935, 1936, 1934,
3088 2912, 1722, 2912, 1722, 2912, 2912, 1789, 1937, 2912, 1722,
3089 2912, 2103, 1234, 1959, 2912, 2912, 2264, 1722, 2912, 1722,
3090 1960, 1794, 395, 1795, 1796, 2912, 2912, 1235, 2912, 2912,
3091
3092 2912, 1946, 590, 1947, 1946, 1316, 1236, 1789, 2912, 2912,
3093 2264, 2912, 1722, 2912, 1722, 1960, 2912, 1948, 1722, 2912,
3094 1722, 2912, 1235, 2912, 1961, 1884, 1111, 1885, 1886, 1316,
3095 1236, 1860, 480, 1861, 1862, 2912, 1884, 1111, 1885, 1886,
3096 2912, 1847, 2912, 1722, 2269, 1722, 2912, 2912, 2912, 1961,
3097 2912, 2912, 1847, 2912, 2912, 2912, 1887, 2912, 1784, 2912,
3098 1785, 2912, 1874, 2912, 2912, 1888, 2912, 1887, 2269, 2912,
3099 2912, 1875, 1946, 590, 1947, 1946, 1888, 1884, 1111, 1885,
3100 1886, 1887, 2912, 1784, 2912, 1785, 2912, 1874, 1948, 1888,
3101 2912, 2912, 1887, 1847, 2912, 1875, 1860, 480, 1861, 1862,
3102
3103 1888, 2268, 2912, 1833, 1053, 1834, 1835, 2912, 1887, 1931,
3104 1931, 1931, 1931, 2536, 1197, 2536, 2536, 1888, 2912, 1836,
3105 1699, 2912, 2912, 1784, 2526, 1785, 2268, 1874, 1448, 599,
3106 1449, 1448, 1963, 1887, 1837, 2912, 1875, 2912, 2912, 2912,
3107 1149, 1888, 2912, 1838, 1450, 2912, 2912, 2912, 1784, 1150,
3108 1785, 2912, 1874, 1987, 2912, 2912, 2912, 1963, 2912, 1837,
3109 1875, 1860, 480, 1861, 1862, 1149, 2912, 1838, 1833, 1053,
3110 1834, 1835, 2912, 1150, 2537, 1199, 2538, 2539, 1987, 2912,
3111 1833, 1053, 1834, 1835, 1836, 2530, 2912, 2912, 1784, 2912,
3112 1785, 2912, 1874, 2912, 2912, 2912, 1836, 2912, 2912, 1837,
3113
3114 2912, 1875, 2912, 1833, 1053, 1834, 1835, 1964, 1838, 2912,
3115 2912, 1837, 2912, 1784, 2912, 1785, 2912, 1874, 2912, 1836,
3116 1838, 1966, 2912, 2912, 1837, 1875, 1867, 387, 1787, 1868,
3117 2912, 1964, 1838, 2912, 1837, 2912, 1837, 2912, 2912, 2912,
3118 2912, 1965, 1789, 1838, 1838, 1966, 1268, 395, 1269, 1270,
3119 2912, 2912, 2912, 1784, 2912, 1785, 2912, 1869, 2912, 1837,
3120 2912, 2912, 1263, 2912, 2912, 1965, 1870, 1838, 1990, 1206,
3121 1991, 1990, 2912, 1169, 2912, 1169, 2912, 2912, 1784, 1988,
3122 1785, 2912, 1869, 2912, 1992, 2912, 1954, 599, 1955, 1954,
3123 1870, 1867, 387, 1787, 1868, 2912, 2912, 2912, 1169, 1993,
3124
3125 1169, 2912, 1956, 2912, 1988, 2912, 2912, 1789, 1994, 2912,
3126 1833, 1053, 1834, 1835, 2912, 2274, 2912, 2912, 1784, 2912,
3127 1785, 2912, 1869, 2912, 1993, 2912, 1836, 2912, 2912, 2912,
3128 2912, 1870, 1994, 2912, 2912, 2912, 1833, 1053, 1834, 1835,
3129 2274, 1837, 2912, 1784, 2912, 1785, 2912, 1869, 1995, 2912,
3130 1838, 2912, 1836, 2912, 2912, 1870, 2912, 2000, 1217, 2001,
3131 2000, 2912, 1833, 1053, 1834, 1835, 1837, 1837, 1833, 1053,
3132 1834, 1835, 1995, 2002, 1838, 2912, 1838, 1996, 1836, 2912,
3133 1833, 1053, 1834, 1835, 1836, 2912, 2912, 2912, 2003, 2912,
3134 2912, 2912, 1837, 1837, 2912, 2912, 1836, 2004, 2912, 1837,
3135
3136 1838, 1996, 1838, 2006, 2912, 2912, 2005, 2912, 1838, 2007,
3137 2912, 1837, 2912, 2003, 2234, 1481, 2234, 2234, 1837, 2912,
3138 1838, 2004, 2912, 2912, 1837, 2912, 1838, 2006, 2912, 2912,
3139 2005, 2912, 1838, 2912, 2007, 2912, 1837, 1833, 1053, 1834,
3140 1835, 2912, 2912, 2912, 1838, 2912, 2912, 1850, 1070, 1851,
3141 1852, 2235, 2912, 1836, 2912, 2912, 2912, 1850, 1070, 1851,
3142 1852, 2912, 2912, 1830, 2912, 2912, 2912, 2912, 1837, 2245,
3143 1492, 2245, 2245, 1830, 2912, 2235, 2912, 1838, 1853, 2912,
3144 2008, 2912, 2912, 2912, 2912, 2009, 2912, 1854, 1853, 2014,
3145 1232, 2015, 2014, 1837, 2912, 2912, 2912, 1854, 2010, 2912,
3146
3147 2912, 1838, 2912, 1853, 2008, 2016, 2291, 2912, 2912, 2009,
3148 2912, 1854, 2912, 1853, 2912, 2912, 1850, 1070, 1851, 1852,
3149 2017, 1854, 2010, 2912, 2912, 2912, 2912, 2912, 2912, 2018,
3150 2291, 2912, 1830, 1850, 1070, 1851, 1852, 2912, 2912, 1850,
3151 1070, 1851, 1852, 2912, 2912, 2017, 2912, 1853, 2912, 1830,
3152 2912, 2912, 2912, 2018, 2023, 1830, 1854, 2912, 2912, 1850,
3153 1070, 1851, 1852, 2912, 1853, 2912, 2912, 2912, 2025, 2912,
3154 1853, 2912, 1853, 1854, 2024, 1830, 2912, 2912, 2023, 1854,
3155 1854, 2581, 1758, 2581, 2581, 1930, 1931, 1932, 1930, 1853,
3156 1853, 2912, 2498, 2025, 2912, 1853, 1933, 1854, 2024, 1854,
3157
3158 2912, 1208, 2026, 1854, 2912, 2912, 1930, 1931, 1932, 1930,
3159 2912, 1205, 1206, 1207, 1205, 1853, 1290, 1933, 2912, 2912,
3160 2912, 2912, 1208, 1854, 2912, 1291, 2026, 1208, 1934, 1935,
3161 1936, 1934, 1935, 1935, 1935, 1935, 2912, 1290, 2912, 1937,
3162 2912, 1290, 1209, 1699, 1234, 2912, 1291, 2912, 2912, 1291,
3163 2912, 1210, 2912, 2912, 2912, 1934, 1935, 1936, 1934, 1312,
3164 2912, 2912, 1290, 1144, 2912, 2912, 1937, 1209, 1313, 2912,
3165 1291, 1234, 1145, 2912, 2912, 1210, 2912, 1231, 1232, 1233,
3166 1231, 2912, 2912, 2912, 1312, 2912, 1312, 2912, 1144, 2912,
3167 2912, 2912, 1313, 1234, 2912, 1313, 1145, 1794, 395, 1795,
3168
3169 1796, 2912, 1794, 395, 1795, 1796, 2912, 2912, 1235, 2912,
3170 2912, 1312, 2912, 1789, 2912, 2912, 2912, 1236, 1789, 1313,
3171 1946, 590, 1947, 1946, 1722, 2912, 1722, 2912, 2912, 1722,
3172 2912, 1722, 2912, 1235, 2912, 2031, 1948, 1946, 590, 1947,
3173 1946, 1236, 2912, 2032, 1946, 590, 1947, 1946, 2912, 1722,
3174 2912, 1722, 2912, 1948, 1722, 2912, 1722, 2912, 2912, 2031,
3175 1948, 2348, 2912, 1954, 599, 1955, 1954, 2032, 2035, 2912,
3176 1794, 395, 1795, 1796, 2912, 2035, 2912, 2036, 2912, 1956,
3177 1954, 599, 1955, 1954, 2036, 2348, 1789, 2912, 1794, 395,
3178 1795, 1796, 2912, 2035, 2042, 2912, 1956, 1722, 2912, 1722,
3179
3180 2035, 2036, 2912, 2043, 1789, 2912, 2912, 2912, 2036, 2047,
3181 2912, 2042, 2912, 2912, 2912, 1722, 2912, 1722, 2912, 2042,
3182 2043, 2048, 1722, 2912, 1722, 2912, 2912, 2043, 2912, 1794,
3183 395, 1795, 1796, 2047, 2912, 2912, 2042, 2912, 2912, 2912,
3184 1722, 2912, 1722, 2912, 2043, 1789, 2048, 1833, 1053, 1834,
3185 1835, 1833, 1053, 1834, 1835, 2912, 1722, 2912, 1722, 2912,
3186 2912, 2912, 2912, 1836, 2912, 2912, 2912, 1836, 1833, 1053,
3187 1834, 1835, 2049, 2912, 1833, 1053, 1834, 1835, 1837, 2912,
3188 2912, 1722, 1837, 1722, 1836, 2051, 2912, 1838, 2912, 2912,
3189 1836, 1838, 2052, 2912, 2912, 2912, 2049, 2053, 2912, 1837,
3190
3191 2912, 2912, 2912, 1837, 2912, 1837, 2912, 1837, 1838, 2051,
3192 2912, 1838, 2912, 2912, 1838, 1838, 2052, 2054, 1973, 1189,
3193 1974, 1973, 2053, 2912, 1837, 2497, 1698, 2497, 2497, 1972,
3194 1837, 2912, 1838, 2912, 1263, 2912, 2498, 2912, 1838, 2912,
3195 2912, 2054, 2912, 2912, 1973, 1189, 1974, 1973, 2912, 1351,
3196 1977, 1193, 1978, 1977, 2912, 1972, 2912, 2912, 1352, 2912,
3197 1263, 1972, 1977, 1193, 1978, 1977, 1267, 1946, 590, 1947,
3198 1946, 2912, 2912, 1972, 1351, 1351, 2912, 2912, 1267, 2912,
3199 2912, 1346, 1352, 1948, 1352, 1977, 1193, 1978, 1979, 2912,
3200 1347, 2912, 2912, 1346, 2912, 2912, 1976, 2912, 2912, 2912,
3201
3202 1351, 2912, 1347, 2912, 2912, 2195, 1346, 2912, 1352, 2253,
3203 1500, 2253, 2253, 2912, 1347, 2912, 1348, 2912, 1346, 1983,
3204 1199, 1984, 1983, 2912, 2912, 1349, 1347, 2912, 2912, 2195,
3205 1972, 1983, 1199, 1984, 1983, 1267, 2912, 1983, 1199, 1984,
3206 1985, 1348, 1972, 2912, 2912, 2912, 2230, 1267, 1976, 1349,
3207 1346, 1268, 395, 1269, 1270, 2912, 2912, 2912, 2912, 1347,
3208 2912, 2912, 1346, 2356, 1041, 2357, 2356, 1263, 1348, 2912,
3209 2230, 1347, 2912, 2912, 2912, 1346, 2912, 1349, 1169, 1956,
3210 1169, 2912, 2912, 1347, 2912, 2912, 2912, 1346, 2912, 2912,
3211 2061, 2912, 2912, 1348, 2912, 1347, 1990, 1206, 1991, 1990,
3212
3213 2912, 1349, 2912, 1169, 2912, 1169, 1990, 1206, 1991, 1990,
3214 2912, 2912, 1992, 2912, 2061, 2912, 1990, 1206, 1991, 1990,
3215 2912, 2912, 1992, 1990, 1206, 1991, 1990, 1993, 1990, 1206,
3216 1991, 1990, 1992, 2912, 2912, 2912, 1994, 2062, 2912, 2064,
3217 2912, 2912, 2912, 2912, 1992, 2912, 2063, 2062, 1954, 599,
3218 1955, 1954, 1993, 2912, 1993, 2912, 2063, 2065, 2912, 1993,
3219 1994, 2912, 2062, 1994, 1956, 2912, 2912, 2912, 1994, 2912,
3220 2063, 2912, 2062, 1990, 1206, 1991, 1990, 2912, 2912, 1993,
3221 2063, 2912, 2065, 2912, 1993, 2912, 2203, 1994, 2912, 1992,
3222 2912, 2912, 1994, 1833, 1053, 1834, 1835, 2912, 2912, 1833,
3223
3224 1053, 1834, 1835, 2912, 1993, 2504, 1705, 2504, 2504, 1836,
3225 2203, 2912, 2066, 1994, 2912, 1836, 2503, 2912, 2349, 1033,
3226 2350, 2349, 2067, 2912, 1837, 2000, 1217, 2001, 2000, 1993,
3227 1837, 2912, 2912, 1838, 1948, 2912, 2066, 1994, 2912, 1838,
3228 2912, 2002, 2068, 2000, 1217, 2001, 2000, 2067, 2912, 1837,
3229 2912, 2490, 1692, 2491, 2492, 1837, 2003, 1838, 2912, 2002,
3230 2912, 2912, 2435, 1838, 2912, 2004, 2068, 2912, 2912, 2000,
3231 1217, 2001, 2000, 2912, 2003, 2000, 1217, 2001, 2000, 2912,
3232 2912, 2003, 2912, 2004, 2912, 2002, 2912, 2912, 2912, 2004,
3233 1922, 2071, 2000, 1217, 2001, 2000, 2912, 2912, 2912, 2003,
3234
3235 2003, 1946, 590, 1947, 1946, 2912, 2003, 2004, 2002, 2004,
3236 2000, 1217, 2001, 2000, 1922, 2004, 2912, 1948, 2912, 2912,
3237 2912, 2072, 2912, 2003, 2912, 2003, 2002, 1954, 599, 1955,
3238 1954, 2003, 2004, 2004, 2454, 2171, 1414, 2172, 2173, 2004,
3239 2912, 2003, 2912, 1956, 2912, 2912, 2072, 2912, 2003, 2073,
3240 2004, 1833, 1053, 1834, 1835, 2912, 2004, 2912, 2912, 2454,
3241 2458, 1833, 1053, 1834, 1835, 2912, 2003, 1836, 1833, 1053,
3242 1834, 1835, 2174, 2073, 2004, 2912, 2912, 1836, 2912, 2912,
3243 2074, 2912, 1837, 2912, 1836, 2458, 2912, 2077, 1306, 2078,
3244 2079, 1838, 1837, 2912, 2912, 2912, 2174, 2912, 2912, 1837,
3245
3246 2912, 1838, 2912, 1836, 2075, 2074, 2912, 1837, 1838, 2076,
3247 1850, 1070, 1851, 1852, 2912, 1838, 2912, 1837, 1837, 1954,
3248 599, 1955, 1954, 2912, 1837, 1838, 1830, 1838, 2075, 2912,
3249 2912, 2912, 1838, 2076, 2912, 1956, 2912, 2912, 2912, 2080,
3250 2912, 1853, 2912, 1837, 1850, 1070, 1851, 1852, 2912, 2912,
3251 1854, 1838, 2912, 2912, 2014, 1232, 2015, 2014, 2912, 2912,
3252 1830, 2912, 2275, 2912, 2080, 2912, 1853, 2912, 2912, 2912,
3253 2016, 2912, 2912, 2912, 1854, 1853, 2014, 1232, 2015, 2014,
3254 2220, 1468, 2221, 2222, 1854, 2017, 2275, 2081, 2014, 1232,
3255 2015, 2014, 2016, 2912, 2018, 2912, 1954, 599, 1955, 1954,
3256
3257 1853, 2912, 2912, 2912, 2016, 2912, 2912, 2084, 1854, 2912,
3258 2017, 2081, 1956, 2912, 2912, 2912, 2085, 2174, 2018, 2084,
3259 2014, 1232, 2015, 2014, 2525, 1186, 2525, 2525, 2085, 2912,
3260 2912, 2912, 2084, 2912, 2912, 2526, 2086, 2355, 2912, 2912,
3261 2085, 2174, 2912, 2912, 2084, 2912, 2014, 1232, 2015, 2014,
3262 2912, 2017, 2085, 2912, 2912, 2912, 2014, 1232, 2015, 2014,
3263 2018, 2355, 2016, 2912, 2912, 2912, 2234, 1481, 2234, 2234,
3264 2912, 2912, 2016, 2912, 2912, 2087, 2017, 2017, 1850, 1070,
3265 1851, 1852, 2912, 2912, 2018, 2912, 2018, 2017, 2912, 2912,
3266 1850, 1070, 1851, 1852, 1830, 2088, 2018, 2912, 2912, 2912,
3267
3268 2087, 2912, 2017, 2235, 2912, 2912, 1830, 2093, 2912, 1853,
3269 2018, 2912, 2017, 2356, 1041, 2357, 2356, 2912, 1854, 2088,
3270 2018, 1853, 1850, 1070, 1851, 1852, 2912, 2235, 2912, 1956,
3271 1854, 2912, 2093, 2094, 1853, 2912, 2912, 2912, 1830, 2912,
3272 2912, 2912, 1854, 2912, 2912, 2912, 1853, 2912, 2096, 1325,
3273 2097, 2098, 2912, 1853, 1854, 2912, 2912, 2094, 2912, 2912,
3274 2912, 2912, 1854, 2095, 1830, 1794, 395, 1795, 1796, 1794,
3275 395, 1795, 1796, 2912, 1794, 395, 1795, 1796, 1853, 1853,
3276 2912, 1789, 2912, 2912, 2912, 1789, 1854, 2095, 1854, 2912,
3277 1789, 2912, 1722, 2912, 1722, 2912, 1722, 2912, 1722, 2912,
3278
3279 2912, 1722, 2912, 1722, 1853, 2118, 2912, 2117, 2104, 2912,
3280 2912, 2912, 1854, 1794, 395, 1795, 1796, 1722, 2912, 1722,
3281 2912, 1722, 2912, 1722, 2912, 2912, 1722, 2912, 1722, 1789,
3282 2118, 2117, 2104, 1833, 1053, 1834, 1835, 2912, 2912, 2912,
3283 1722, 2912, 1722, 1833, 1053, 1834, 1835, 2912, 2912, 1836,
3284 1833, 1053, 1834, 1835, 2912, 2912, 2912, 2912, 2912, 1836,
3285 2119, 2912, 2121, 2912, 1837, 1722, 1836, 1722, 2912, 2124,
3286 1365, 2125, 2126, 1838, 1837, 2912, 2912, 2585, 1763, 2586,
3287 2587, 1837, 2912, 1838, 2119, 1836, 2122, 2121, 2510, 1837,
3288 1838, 2123, 1268, 395, 1269, 1270, 2912, 1838, 2912, 1837,
3289
3290 1837, 1946, 590, 1947, 1946, 2912, 1837, 1838, 1263, 1838,
3291 2122, 2912, 2912, 2912, 1838, 2123, 1922, 1948, 2912, 1169,
3292 2912, 1169, 2912, 2912, 2912, 1837, 1990, 1206, 1991, 1990,
3293 2139, 2912, 2912, 1838, 2912, 2912, 1990, 1206, 1991, 1990,
3294 1922, 2610, 1992, 2912, 1169, 2912, 1169, 1990, 1206, 1991,
3295 1990, 2912, 1992, 2912, 2139, 2140, 2912, 1993, 1990, 1206,
3296 1991, 1990, 2912, 2064, 2912, 2610, 1994, 1993, 2536, 1197,
3297 2536, 2536, 2912, 2912, 1992, 2141, 1994, 2912, 1993, 2526,
3298 2140, 2912, 1993, 2581, 1758, 2581, 2581, 1994, 2912, 1993,
3299 1994, 2912, 1993, 2912, 2498, 2912, 2142, 2912, 1994, 2141,
3300
3301 1994, 2912, 2912, 1993, 2912, 1990, 1206, 1991, 1990, 2912,
3302 2912, 1994, 2912, 2912, 1993, 2912, 1833, 1053, 1834, 1835,
3303 2142, 1992, 1994, 2145, 1388, 2146, 2147, 2000, 1217, 2001,
3304 2000, 2912, 1836, 2912, 2912, 2912, 1993, 2912, 2912, 1836,
3305 2912, 2912, 2912, 2071, 2912, 1994, 2143, 1837, 2245, 1492,
3306 2245, 2245, 2912, 2912, 1837, 2912, 1838, 2144, 2003, 2912,
3307 2148, 1993, 2912, 1838, 2912, 2912, 2912, 2004, 2912, 1994,
3308 2143, 2912, 1837, 2000, 1217, 2001, 2000, 2912, 2912, 1837,
3309 1838, 2144, 2912, 2003, 2148, 2235, 2912, 1838, 2912, 2002,
3310 2912, 2004, 2000, 1217, 2001, 2000, 2912, 2912, 2912, 1833,
3311
3312 1053, 1834, 1835, 2912, 2003, 2912, 2912, 2912, 2002, 2235,
3313 2912, 2151, 2912, 2004, 2912, 1836, 2253, 1500, 2253, 2253,
3314 2912, 2912, 2912, 2003, 2912, 2665, 1374, 2666, 2665, 2003,
3315 1837, 2912, 2004, 2152, 2912, 2151, 2667, 2004, 2912, 1838,
3316 2153, 1948, 2154, 1397, 2155, 2156, 2912, 2912, 2003, 2077,
3317 1306, 2078, 2077, 2235, 2912, 1837, 2004, 2152, 1836, 1833,
3318 1053, 1834, 1835, 1838, 2153, 1836, 2912, 2527, 1189, 2528,
3319 2529, 2912, 2912, 1837, 2912, 1836, 2912, 2235, 2530, 2148,
3320 1909, 2912, 1838, 2912, 2912, 2912, 1731, 2912, 2912, 1910,
3321 1837, 2912, 2157, 2077, 1306, 2078, 2077, 2912, 1837, 1838,
3322
3323 2912, 2912, 2912, 2148, 1722, 1909, 1838, 2912, 2912, 1836,
3324 1731, 2912, 2912, 1910, 2912, 1837, 2912, 2157, 2912, 2077,
3325 1306, 2078, 2079, 1838, 1909, 2912, 2912, 2912, 1722, 2912,
3326 1731, 2912, 2912, 1910, 2912, 1836, 1850, 1070, 1851, 1852,
3327 2912, 2912, 2160, 1403, 2161, 2162, 2912, 2912, 2912, 1909,
3328 1837, 2912, 1830, 2912, 1731, 2912, 2158, 1910, 1830, 1838,
3329 2912, 2912, 2014, 1232, 2015, 2014, 2912, 1853, 2014, 1232,
3330 2015, 2014, 2912, 1853, 2912, 1837, 1854, 2159, 2016, 2163,
3331 2158, 2912, 1854, 1838, 2016, 2912, 2912, 2912, 2912, 2912,
3332 2912, 2166, 1853, 2017, 2014, 1232, 2015, 2014, 1853, 2017,
3333
3334 1854, 2159, 2018, 2163, 2912, 2912, 1854, 2167, 2018, 2912,
3335 2086, 2014, 1232, 2015, 2014, 2912, 2166, 2912, 2017, 2912,
3336 1946, 590, 1947, 1946, 2017, 2017, 2018, 2016, 2912, 2912,
3337 2912, 2167, 2018, 2912, 2018, 2912, 1948, 2014, 1232, 2015,
3338 2014, 2912, 2017, 1850, 1070, 1851, 1852, 2534, 2912, 2168,
3339 2017, 2018, 2912, 2016, 2178, 1421, 2179, 2180, 2018, 1830,
3340 2188, 853, 2189, 2190, 2912, 2912, 2912, 2017, 2017, 2912,
3341 1830, 2912, 2534, 2168, 1853, 2018, 1789, 2018, 2169, 2866,
3342 1698, 2866, 2866, 1854, 2177, 1853, 2912, 1722, 2912, 1722,
3343 2867, 2163, 2912, 2017, 1854, 1850, 1070, 1851, 1852, 1853,
3344
3345 2912, 2018, 2169, 1954, 599, 1955, 1954, 1854, 2177, 2912,
3346 1853, 1830, 1722, 2912, 1722, 2163, 2912, 2912, 1854, 1956,
3347 2912, 2096, 1325, 2097, 2096, 2912, 1853, 2912, 2181, 2912,
3348 2540, 2096, 1325, 2097, 2096, 1854, 2912, 1830, 2096, 1325,
3349 2097, 2098, 2703, 1414, 2704, 2705, 2912, 1830, 2912, 2912,
3350 2912, 1853, 1923, 2181, 1830, 2540, 2912, 2912, 1731, 1854,
3351 2912, 1924, 1923, 2912, 1794, 395, 1795, 1796, 1731, 1842,
3352 2912, 1924, 2912, 2912, 2912, 2182, 2912, 1923, 1843, 2706,
3353 1789, 2912, 1731, 2912, 2912, 1924, 2912, 1923, 2912, 2912,
3354 2912, 1722, 1731, 1722, 1842, 1924, 2912, 2912, 2912, 2182,
3355
3356 2912, 2912, 1843, 2706, 2912, 2187, 1794, 395, 1795, 1796,
3357 1794, 395, 1795, 1796, 2912, 2912, 1722, 2912, 1722, 2912,
3358 2912, 2912, 1789, 2912, 2912, 2912, 1789, 2912, 2912, 2187,
3359 2912, 2912, 2912, 1722, 2912, 2207, 2912, 1722, 2912, 1722,
3360 2209, 874, 2210, 2211, 2912, 1833, 1053, 1834, 1835, 2912,
3361 2214, 1462, 2215, 2216, 2208, 2912, 1789, 2912, 1722, 2912,
3362 2207, 1836, 1722, 2912, 1722, 2912, 1836, 1722, 2912, 1722,
3363 2912, 2912, 1833, 1053, 1834, 1835, 1837, 2912, 2208, 2912,
3364 2912, 1837, 2912, 2912, 2912, 1838, 2213, 2148, 1836, 2912,
3365 1838, 2912, 1722, 2912, 1722, 2912, 2912, 1681, 874, 1682,
3366
3367 1683, 1837, 2912, 1837, 2912, 2217, 1837, 2912, 2912, 1838,
3368 2213, 2148, 1838, 1263, 1838, 2124, 1365, 2125, 2124, 2124,
3369 1365, 2125, 2124, 2912, 1169, 2912, 1169, 2912, 1837, 2912,
3370 2217, 1836, 2912, 2912, 2912, 1836, 1838, 2124, 1365, 2125,
3371 2126, 2130, 1371, 2131, 2130, 2912, 1909, 2912, 2912, 1169,
3372 1909, 1169, 1738, 1836, 2912, 1910, 1738, 1847, 2912, 1910,
3373 2912, 2912, 2729, 1468, 2730, 2731, 2912, 2912, 1837, 2912,
3374 2912, 1909, 1918, 2912, 2218, 1909, 1738, 1838, 1738, 1910,
3375 1738, 1919, 2912, 1910, 2130, 1371, 2131, 2130, 2130, 1371,
3376 2131, 2132, 2912, 1837, 2912, 2912, 2912, 1918, 2218, 2706,
3377
3378 1847, 1838, 1738, 2912, 2912, 1919, 2133, 1374, 2134, 2133,
3379 2912, 1946, 590, 1947, 1946, 1918, 2912, 2135, 2912, 1892,
3380 2912, 1738, 1442, 2706, 1919, 2224, 2912, 1948, 1893, 2912,
3381 2912, 1954, 599, 1955, 1954, 2912, 2535, 1515, 2912, 2912,
3382 1918, 2912, 2912, 2912, 1892, 1738, 1516, 1956, 1919, 2224,
3383 2912, 2912, 1893, 2133, 1374, 2134, 2133, 2136, 1378, 2137,
3384 2136, 2535, 1515, 2912, 2135, 2912, 2912, 2912, 2138, 1442,
3385 1516, 2611, 2912, 1450, 2136, 1378, 2137, 2136, 2912, 2912,
3386 1990, 1206, 1991, 1990, 1515, 2138, 2912, 2912, 1522, 2912,
3387 1450, 2912, 2912, 1516, 2912, 2611, 1992, 1523, 2912, 2912,
3388
3389 1990, 1206, 1991, 1990, 2912, 1522, 2912, 2912, 2912, 1515,
3390 2912, 1993, 2912, 1522, 1523, 2912, 1992, 1516, 2225, 2912,
3391 1994, 1523, 2912, 1990, 1206, 1991, 1990, 2912, 2912, 2912,
3392 1522, 1993, 2873, 1705, 2873, 2873, 1993, 2912, 1523, 1992,
3393 1994, 2226, 2225, 2872, 1994, 1990, 1206, 1991, 1990, 2912,
3394 2912, 2912, 2227, 2912, 1993, 2912, 1993, 2912, 2912, 2912,
3395 2912, 1992, 2912, 1994, 1994, 2226, 1833, 1053, 1834, 1835,
3396 2668, 1378, 2669, 2668, 2912, 2912, 1993, 2227, 2912, 1993,
3397 2912, 2670, 1836, 2912, 2912, 1994, 1956, 1994, 2228, 2145,
3398 1388, 2146, 2145, 2884, 1758, 2884, 2884, 1837, 2912, 2229,
3399
3400 2912, 1993, 2912, 2912, 2867, 1836, 1838, 2912, 2912, 1994,
3401 2912, 2912, 2228, 2912, 2912, 2145, 1388, 2146, 2145, 2912,
3402 1909, 2912, 1837, 2912, 2229, 2912, 2230, 2912, 2912, 1910,
3403 1838, 1836, 2145, 1388, 2146, 2147, 2912, 2912, 1946, 590,
3404 1947, 1946, 2912, 2912, 2912, 1909, 1909, 2912, 1836, 2912,
3405 2230, 2912, 2230, 1910, 1948, 1910, 2912, 2912, 1833, 1053,
3406 1834, 1835, 2912, 1837, 2000, 1217, 2001, 2000, 2912, 2231,
3407 2912, 1909, 1838, 2912, 1836, 2912, 2230, 2912, 2912, 1910,
3408 2002, 2912, 2912, 2232, 2000, 1217, 2001, 2000, 1837, 1837,
3409 2912, 2912, 2912, 2231, 2912, 2003, 1838, 2912, 1838, 2912,
3410
3411 2002, 2912, 2912, 2912, 2004, 2912, 2912, 2237, 2232, 1954,
3412 599, 1955, 1954, 2236, 1837, 2003, 1833, 1053, 1834, 1835,
3413 2003, 2912, 1838, 2912, 2004, 1956, 2912, 2912, 2004, 2912,
3414 2912, 2237, 1836, 2743, 1481, 2743, 2743, 2912, 2236, 2912,
3415 2003, 2912, 2154, 1397, 2155, 2154, 2912, 1837, 2004, 2238,
3416 2912, 2912, 2154, 1397, 2155, 2154, 1838, 2912, 1836, 2154,
3417 1397, 2155, 2156, 2754, 1492, 2754, 2754, 2912, 1836, 2912,
3418 2744, 2912, 1837, 1909, 2238, 1836, 2912, 2912, 2912, 2235,
3419 1838, 2912, 1910, 1909, 2866, 1698, 2866, 2866, 2912, 2235,
3420 1837, 2912, 1910, 2912, 2744, 2867, 2148, 2912, 1909, 1838,
3421
3422 2744, 2912, 2912, 2235, 2912, 2912, 1910, 2912, 1909, 1833,
3423 1053, 1834, 1835, 2235, 2912, 1837, 1910, 2912, 2912, 2912,
3424 2148, 2912, 2912, 1838, 2744, 1836, 1833, 1053, 1834, 1835,
3425 2912, 1850, 1070, 1851, 1852, 2912, 2239, 2912, 2912, 2912,
3426 1837, 2912, 1836, 2160, 1403, 2161, 2160, 1830, 2912, 1838,
3427 2912, 2240, 2873, 1705, 2873, 2873, 2912, 1837, 2912, 1830,
3428 2912, 2239, 1853, 2872, 2241, 1837, 1838, 2845, 1641, 2846,
3429 2847, 1854, 2912, 1838, 1923, 2912, 2240, 2912, 2838, 2912,
3430 2230, 2912, 1837, 1924, 2912, 2912, 2912, 1853, 2912, 2241,
3431 1838, 2762, 1500, 2762, 2762, 1854, 2912, 2912, 2912, 1923,
3432
3433 2160, 1403, 2161, 2160, 2230, 2912, 2477, 1924, 2912, 2912,
3434 2160, 1403, 2161, 2162, 2912, 2912, 1830, 1850, 1070, 1851,
3435 1852, 2912, 2014, 1232, 2015, 2014, 1830, 2912, 2744, 2912,
3436 2477, 1923, 2912, 1830, 2912, 2912, 2912, 2230, 2016, 2912,
3437 1924, 1842, 2243, 1794, 395, 1795, 1796, 2242, 1853, 2912,
3438 1843, 2912, 2744, 2017, 2912, 2912, 1923, 1854, 2912, 1789,
3439 2246, 2230, 2018, 2912, 1924, 2912, 1842, 2243, 2912, 2912,
3440 2343, 2242, 1722, 1853, 1843, 2912, 2912, 2912, 2017, 2912,
3441 2912, 1854, 2912, 2912, 2246, 2912, 2018, 2014, 1232, 2015,
3442 2014, 2014, 1232, 2015, 2014, 2343, 2912, 1722, 2014, 1232,
3443
3444 2015, 2014, 2912, 2016, 2912, 2912, 2912, 2016, 2912, 2743,
3445 1481, 2743, 2743, 2912, 2016, 2912, 2912, 2912, 2017, 2912,
3446 2248, 2912, 2017, 2912, 2912, 2912, 2912, 2018, 2247, 2017,
3447 2912, 2018, 2171, 1414, 2172, 2171, 2912, 2912, 2018, 2912,
3448 2912, 2249, 2912, 2017, 2912, 2248, 2744, 2017, 1847, 2912,
3449 2912, 2018, 2247, 2912, 2017, 2018, 2912, 2912, 2171, 1414,
3450 2172, 2171, 2018, 1918, 2912, 2249, 2912, 2912, 2912, 2235,
3451 2744, 2912, 1919, 2912, 1847, 2171, 1414, 2172, 2173, 2912,
3452 2912, 2754, 1492, 2754, 2754, 2912, 2912, 2912, 1918, 1918,
3453 2912, 2912, 2912, 2235, 2912, 2235, 1919, 2912, 1919, 2912,
3454
3455 2912, 1850, 1070, 1851, 1852, 2912, 1892, 2178, 1421, 2179,
3456 2178, 2912, 2174, 2912, 1918, 1893, 2912, 1830, 2767, 2235,
3457 2912, 2912, 1919, 1830, 2178, 1421, 2179, 2178, 2912, 2912,
3458 2912, 1892, 1853, 2912, 2254, 2912, 2174, 2912, 1923, 1893,
3459 1830, 1854, 2767, 2912, 2235, 2912, 2912, 1924, 2912, 2912,
3460 2178, 1421, 2179, 2180, 2912, 1923, 2912, 1853, 2912, 2254,
3461 2912, 2235, 2912, 1923, 1924, 1854, 1830, 2912, 2235, 2912,
3462 2912, 1924, 2912, 1850, 1070, 1851, 1852, 2912, 2912, 2912,
3463 1923, 1842, 2912, 2912, 2912, 2235, 2912, 2163, 1924, 1830,
3464 1843, 1850, 1070, 1851, 1852, 2762, 1500, 2762, 2762, 2912,
3465
3466 2255, 2912, 2912, 2912, 1853, 2912, 1842, 1830, 2912, 2912,
3467 2912, 2163, 2912, 1854, 1843, 2912, 2256, 1794, 395, 1795,
3468 1796, 2912, 1853, 2912, 2912, 2255, 2912, 2912, 2912, 1853,
3469 2912, 1854, 2739, 1789, 2912, 2912, 2912, 1854, 2912, 2912,
3470 2912, 2256, 2912, 2912, 1722, 2912, 1722, 1853, 2912, 2912,
3471 2263, 2188, 853, 2189, 2188, 1854, 2739, 2912, 2188, 853,
3472 2189, 2188, 2884, 1758, 2884, 2884, 2912, 1789, 2912, 1722,
3473 2912, 1722, 2912, 2867, 1789, 2263, 2912, 2912, 2912, 2912,
3474 2912, 2912, 1877, 1954, 599, 1955, 1954, 2912, 2264, 1877,
3475 2912, 1878, 2912, 2912, 2912, 2264, 2912, 2912, 1878, 1956,
3476
3477 2192, 857, 2193, 2192, 2912, 2912, 2912, 1877, 2541, 2912,
3478 2912, 2912, 2264, 2912, 1877, 1878, 1793, 2912, 2912, 2264,
3479 2912, 2912, 1878, 2188, 853, 2189, 2190, 2912, 2912, 2912,
3480 2912, 1872, 2912, 2541, 2912, 2912, 2912, 2264, 2912, 1789,
3481 1873, 2192, 857, 2193, 2192, 2192, 857, 2193, 2194, 2912,
3482 1722, 2912, 1722, 2912, 1865, 2912, 1872, 1793, 2912, 2912,
3483 2265, 2264, 2912, 1866, 1873, 2912, 2912, 2200, 865, 2201,
3484 2200, 2912, 1872, 2912, 2912, 1722, 1874, 1722, 2264, 1865,
3485 2912, 1873, 2267, 1793, 2265, 1875, 2912, 1866, 2912, 2912,
3486 2200, 865, 2201, 2202, 2912, 2912, 2912, 1872, 1872, 2912,
3487
3488 2912, 1874, 2264, 2912, 2271, 1873, 2267, 1873, 2912, 1875,
3489 2200, 865, 2201, 2200, 2912, 2912, 2912, 2912, 2912, 2912,
3490 2912, 1874, 2912, 1872, 2912, 2912, 1793, 2273, 2271, 2912,
3491 1875, 1873, 1794, 395, 1795, 1796, 1794, 395, 1795, 1796,
3492 2912, 1872, 2912, 2912, 2912, 2912, 1874, 2271, 1789, 2912,
3493 1873, 2273, 1789, 2912, 1875, 2912, 2912, 2912, 2912, 1722,
3494 2912, 1722, 2912, 1722, 2912, 1722, 1872, 2912, 2912, 2912,
3495 2277, 2271, 2912, 2912, 1873, 2912, 2912, 2278, 2703, 1414,
3496 2704, 2705, 2912, 2912, 1722, 2912, 1722, 2912, 1722, 2912,
3497 1722, 2912, 2912, 2912, 2277, 2912, 2912, 2439, 1111, 2440,
3498
3499 2446, 2278, 1651, 2279, 956, 2280, 2281, 1651, 1651, 1651,
3500 1651, 1651, 1651, 1651, 2912, 2706, 1651, 1651, 1651, 1789,
3501 2912, 1651, 2912, 1651, 1651, 1651, 1651, 1651, 2447, 2729,
3502 1468, 2730, 2731, 2912, 2283, 2912, 2912, 2448, 2912, 2706,
3503 2912, 2912, 2912, 2284, 2912, 2912, 2912, 2912, 2912, 2912,
3504 2912, 1651, 2912, 2447, 2912, 2912, 2912, 2912, 2912, 2283,
3505 2912, 2448, 2912, 2912, 2912, 2912, 2706, 2284, 2743, 1481,
3506 2743, 2743, 2912, 2912, 2912, 1651, 1651, 1651, 2279, 956,
3507 2280, 2281, 1651, 1651, 1651, 1651, 1651, 1651, 1651, 2912,
3508 2706, 1651, 1651, 1651, 1789, 2912, 1651, 2912, 1651, 1651,
3509
3510 1651, 1651, 1651, 2912, 2912, 2744, 2912, 2912, 2912, 2283,
3511 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2284, 2912,
3512 2912, 2912, 2912, 2912, 2912, 2912, 1651, 2912, 2912, 2744,
3513 2912, 2912, 2912, 2912, 2283, 2912, 2912, 2912, 2912, 2912,
3514 2912, 2912, 2284, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
3515 1651, 1651, 1651, 2279, 956, 2280, 2285, 1651, 1651, 1651,
3516 1651, 1651, 1651, 1651, 2286, 2286, 1651, 1651, 1651, 1789,
3517 2287, 1651, 2287, 1651, 1651, 1651, 1651, 1651, 2287, 2287,
3518 2287, 2287, 2287, 2287, 2288, 2287, 2287, 2287, 2287, 2287,
3519 2287, 2287, 2287, 2289, 2287, 2287, 2287, 2287, 2287, 2287,
3520
3521 2287, 1651, 2287, 2287, 2287, 2287, 2287, 2287, 2287, 2288,
3522 2287, 2287, 2287, 2287, 2287, 2287, 2287, 2289, 2287, 2287,
3523 2287, 2287, 2287, 2287, 2287, 1651, 1651, 1833, 1053, 1834,
3524 1835, 2214, 1462, 2215, 2214, 2912, 2912, 2912, 2214, 1462,
3525 2215, 2214, 2912, 1836, 2912, 2912, 2912, 1836, 2912, 2214,
3526 1462, 2215, 2216, 2912, 1836, 2912, 2912, 2912, 1837, 2912,
3527 2290, 2912, 1909, 2912, 2912, 1836, 2912, 1838, 2291, 1909,
3528 2912, 1910, 2912, 2912, 2912, 2291, 2912, 2912, 1910, 2912,
3529 1837, 2912, 2912, 1837, 2912, 2290, 2292, 1909, 2912, 1838,
3530 2912, 1838, 2291, 2912, 1909, 1910, 2912, 2912, 2912, 2291,
3531
3532 2912, 2912, 1910, 2912, 2912, 1837, 1833, 1053, 1834, 1835,
3533 2292, 2912, 2912, 1838, 2912, 2912, 1833, 1053, 1834, 1835,
3534 2912, 2912, 1836, 2220, 1468, 2221, 2220, 2754, 1492, 2754,
3535 2754, 2912, 1836, 2293, 2912, 2912, 2912, 1837, 2912, 1847,
3536 2912, 2294, 2859, 1692, 2860, 2861, 1838, 1837, 2762, 1500,
3537 2762, 2762, 2912, 2852, 1918, 2912, 1838, 2912, 2293, 2912,
3538 2291, 2912, 1837, 1919, 2744, 2912, 2294, 2912, 2912, 2912,
3539 1838, 2912, 1837, 2220, 1468, 2221, 2220, 2912, 2912, 1918,
3540 1838, 2477, 2912, 2912, 2291, 2744, 2912, 1919, 2744, 1847,
3541 2220, 1468, 2221, 2222, 2912, 2912, 2912, 2912, 2912, 2912,
3542
3543 2888, 1763, 2889, 2890, 1918, 2477, 2912, 2912, 2912, 2744,
3544 2291, 2879, 2912, 1919, 2912, 2912, 1990, 1206, 1991, 1990,
3545 2912, 1892, 1990, 1206, 1991, 1990, 2912, 2296, 2912, 1918,
3546 1893, 2912, 1992, 2912, 2291, 2912, 2912, 1919, 1992, 2477,
3547 2912, 2912, 2912, 2912, 2912, 2299, 1892, 1993, 2912, 2912,
3548 2912, 2296, 2912, 1993, 1893, 2912, 1994, 1990, 1206, 1991,
3549 1990, 2912, 1994, 2477, 2912, 2300, 2912, 2912, 2912, 2912,
3550 2299, 2912, 1993, 1992, 2302, 1544, 2303, 2302, 1993, 2912,
3551 1994, 2912, 2912, 2912, 2912, 2912, 1994, 2912, 1993, 2300,
3552 1992, 2912, 1833, 1053, 1834, 1835, 2912, 1994, 2301, 2912,
3553
3554 2912, 2912, 2912, 2912, 2912, 1993, 2912, 2912, 1836, 2912,
3555 2912, 2304, 2912, 1993, 1994, 1833, 1053, 1834, 1835, 2305,
3556 2912, 1994, 2301, 1837, 2912, 2912, 1833, 1053, 1834, 1835,
3557 1993, 1836, 1838, 2912, 2912, 2304, 2912, 2912, 1994, 2912,
3558 2307, 2912, 1836, 2912, 2305, 2912, 1837, 2912, 1837, 2912,
3559 2912, 2912, 2912, 2912, 2912, 1838, 1838, 1837, 2000, 1217,
3560 2001, 2000, 2912, 2912, 2912, 2307, 2308, 2912, 2912, 2912,
3561 2912, 1837, 2912, 2912, 2002, 2312, 1555, 2313, 2312, 1838,
3562 2912, 2912, 1837, 2912, 2912, 2912, 2912, 2912, 2912, 2003,
3563 2308, 2002, 2912, 1833, 1053, 1834, 1835, 2912, 2004, 2311,
3564
3565 2912, 2912, 2912, 2912, 2912, 2912, 2003, 2912, 2912, 1836,
3566 2912, 2912, 2314, 2912, 2003, 2004, 2077, 1306, 2078, 2079,
3567 2315, 2912, 2004, 2311, 1837, 2912, 2912, 1833, 1053, 1834,
3568 1835, 2003, 1836, 1838, 2912, 2912, 2314, 2912, 2912, 2004,
3569 2912, 2912, 2912, 1836, 2912, 2315, 2912, 1837, 2912, 1837,
3570 1850, 1070, 1851, 1852, 2912, 2912, 1838, 1838, 1837, 2912,
3571 2912, 2912, 2912, 2912, 2912, 2912, 1830, 2316, 1850, 1070,
3572 1851, 1852, 1837, 1850, 1070, 1851, 1852, 2317, 2912, 2912,
3573 1838, 1853, 2912, 1837, 1830, 2912, 2912, 2912, 2912, 1830,
3574 1854, 2316, 2912, 2318, 2014, 1232, 2015, 2014, 2912, 1853,
3575
3576 2912, 2912, 2317, 2912, 1853, 2912, 1853, 2912, 1854, 2912,
3577 2016, 2912, 2912, 2319, 1854, 2912, 2912, 2912, 2318, 2912,
3578 2912, 2912, 2912, 2321, 1853, 2017, 2912, 2912, 2912, 1853,
3579 2912, 2912, 1854, 2912, 2018, 2912, 2912, 2319, 2014, 1232,
3580 2015, 2014, 2912, 2014, 1232, 2015, 2014, 2912, 2321, 2912,
3581 2017, 2912, 2912, 2912, 2016, 2912, 2912, 2912, 2018, 2016,
3582 2324, 1568, 2325, 2324, 2096, 1325, 2097, 2098, 2912, 2017,
3583 1850, 1070, 1851, 1852, 2017, 2912, 2016, 2912, 2018, 2912,
3584 1830, 2322, 2912, 2018, 2323, 2912, 1830, 1850, 1070, 1851,
3585 1852, 2017, 2912, 2912, 2017, 1853, 2912, 2326, 2912, 2017,
3586
3587 2018, 1853, 2018, 1830, 1854, 2322, 2912, 2018, 2323, 2912,
3588 2331, 2912, 2912, 2912, 2330, 2912, 2017, 2912, 1853, 2912,
3589 1853, 2326, 2912, 2912, 2018, 2912, 1853, 1854, 1854, 2335,
3590 1019, 2336, 2337, 2912, 2331, 1794, 395, 1795, 1796, 2330,
3591 2912, 2912, 2912, 1853, 2912, 1793, 2335, 1019, 2336, 2337,
3592 2912, 1854, 2912, 2912, 1794, 395, 1795, 1796, 2912, 2912,
3593 2338, 2912, 1793, 2912, 2912, 2912, 1722, 2912, 2912, 2339,
3594 1789, 2912, 1722, 2912, 2912, 2452, 2912, 2338, 2912, 2345,
3595 2912, 1722, 2912, 1722, 2912, 2338, 2339, 2912, 2912, 2912,
3596 2912, 1722, 2912, 2339, 2912, 2912, 1722, 2912, 2912, 2452,
3597
3598 2912, 2912, 2338, 2912, 2345, 2912, 1722, 2912, 1722, 2912,
3599 2339, 1651, 2335, 1019, 2336, 2340, 1651, 1651, 1651, 1651,
3600 1651, 1651, 1651, 2912, 2912, 1651, 1651, 1651, 1793, 2912,
3601 1651, 2912, 1651, 1651, 1651, 1651, 1651, 1794, 395, 1795,
3602 1796, 2912, 2912, 2341, 2912, 1794, 395, 1795, 1796, 2912,
3603 2912, 2912, 2342, 1789, 2912, 2912, 2912, 2912, 2912, 2912,
3604 1651, 1789, 2912, 2912, 1722, 2912, 1722, 2912, 2341, 2912,
3605 2360, 2912, 1722, 2912, 1722, 2912, 2342, 2912, 2912, 2912,
3606 2359, 2912, 2912, 2912, 1651, 1651, 2912, 2912, 2912, 1722,
3607 2912, 1722, 2912, 2912, 2912, 2360, 2912, 1722, 2912, 1722,
3608
3609 2361, 1047, 2362, 2363, 2359, 2367, 1053, 2368, 2369, 2912,
3610 2912, 2912, 2367, 1053, 2368, 2369, 2364, 2361, 1047, 2362,
3611 2375, 2370, 2912, 2367, 1053, 2368, 2369, 2912, 2370, 2912,
3612 2912, 2365, 2912, 2364, 2912, 2912, 2371, 2912, 2912, 2370,
3613 2366, 2373, 2912, 2371, 2912, 2372, 2912, 2912, 2376, 2912,
3614 2912, 2912, 2372, 2912, 2371, 2912, 2365, 2377, 2912, 2912,
3615 2912, 2371, 2374, 2372, 2366, 2912, 2373, 2912, 2371, 2372,
3616 2912, 2912, 2912, 2376, 2912, 2912, 2372, 2912, 2912, 2371,
3617 2912, 2377, 2912, 2912, 2912, 2912, 2374, 2372, 1651, 2378,
3618 1064, 2379, 2380, 1651, 1651, 1651, 1651, 1651, 1651, 1651,
3619
3620 2912, 2912, 1651, 1651, 1651, 2381, 2912, 1651, 2912, 1651,
3621 1651, 1651, 1651, 1651, 2912, 2384, 1070, 2385, 2386, 2912,
3622 2382, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2383,
3623 2912, 2364, 2912, 2384, 1070, 2385, 2386, 1651, 2384, 1070,
3624 2385, 2386, 2912, 2912, 2912, 2382, 2387, 2912, 2912, 2364,
3625 2912, 2912, 2912, 2383, 2364, 2388, 2912, 2912, 2912, 2912,
3626 2912, 1651, 1651, 2912, 2387, 2912, 2912, 2389, 2912, 2387,
3627 2912, 2387, 2390, 2388, 1833, 1053, 1834, 1835, 2388, 2388,
3628 2912, 2912, 2912, 2912, 1833, 1053, 1834, 1835, 2912, 2387,
3629 1836, 2912, 2389, 2912, 2387, 2912, 2390, 2388, 2912, 2912,
3630
3631 1836, 2391, 2388, 2912, 2912, 1837, 2912, 2912, 2912, 2393,
3632 2439, 1111, 2440, 2446, 1838, 1837, 2124, 1365, 2125, 2126,
3633 1833, 1053, 1834, 1835, 1838, 2912, 2391, 2912, 2912, 2912,
3634 1837, 2912, 1836, 2912, 2393, 2912, 1836, 2912, 1838, 2912,
3635 1837, 2447, 1990, 1206, 1991, 1990, 2912, 1837, 1838, 2912,
3636 2448, 1837, 2912, 2912, 2912, 2912, 1838, 2912, 1992, 2912,
3637 2394, 2912, 2399, 1610, 2400, 2399, 2447, 2912, 2912, 2912,
3638 2912, 2912, 1837, 1993, 2448, 2912, 1837, 2912, 1992, 2912,
3639 1838, 2912, 1994, 2398, 2394, 2912, 2912, 2912, 1990, 1206,
3640 1991, 1990, 2912, 1993, 2302, 1544, 2303, 2302, 1993, 2304,
3641
3642 2912, 2912, 1994, 2912, 1992, 2912, 1994, 2398, 2912, 2912,
3643 1992, 2302, 1544, 2303, 2302, 2912, 2912, 2912, 1993, 1993,
3644 2912, 2401, 2912, 2304, 2912, 2062, 1994, 1992, 1994, 2912,
3645 2912, 2304, 2912, 2912, 2063, 2912, 2912, 1990, 1206, 1991,
3646 1990, 2912, 2062, 2912, 1993, 2912, 2401, 2912, 2304, 2912,
3647 2062, 2063, 1994, 1992, 2912, 2304, 2912, 2912, 2063, 2912,
3648 2912, 2912, 2402, 2145, 1388, 2146, 2147, 2062, 1993, 2912,
3649 2912, 2912, 2304, 2912, 2912, 2063, 2912, 1994, 2912, 1836,
3650 1833, 1053, 1834, 1835, 2912, 2912, 2912, 2402, 2912, 2912,
3651 2912, 2912, 2912, 1993, 1837, 2912, 1836, 2912, 2912, 2912,
3652
3653 2148, 1994, 2912, 1838, 2912, 2209, 874, 2210, 2211, 2912,
3654 2912, 1837, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 1837,
3655 2404, 1789, 2912, 2912, 2148, 2912, 2912, 1838, 2912, 2912,
3656 2912, 2912, 1722, 2912, 1722, 2912, 1837, 2912, 2000, 1217,
3657 2001, 2000, 2912, 2912, 2404, 2405, 1617, 2406, 2407, 2312,
3658 1555, 2313, 2312, 2912, 2002, 2912, 2408, 1722, 2912, 1722,
3659 2912, 1836, 2912, 2912, 2912, 2002, 2912, 2912, 2912, 2003,
3660 2912, 2411, 2000, 1217, 2001, 2000, 1837, 2912, 2004, 2912,
3661 2003, 2912, 2912, 2912, 1913, 1838, 2314, 2912, 2002, 2004,
3662 2312, 1555, 2313, 2312, 2003, 2912, 2411, 2412, 2912, 2912,
3663
3664 2912, 1837, 2004, 2003, 2912, 2003, 2002, 2912, 1913, 1838,
3665 2314, 2912, 2004, 2004, 2912, 2912, 2154, 1397, 2155, 2156,
3666 2912, 2003, 2412, 2912, 2912, 2912, 2912, 2314, 2003, 2912,
3667 2004, 2912, 1836, 2912, 2912, 2912, 2004, 2912, 2912, 2160,
3668 1403, 2161, 2162, 2912, 2912, 2912, 2003, 1837, 2912, 2912,
3669 2912, 2314, 2912, 2148, 2004, 1830, 1838, 2912, 1850, 1070,
3670 1851, 1852, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
3671 1853, 2912, 1837, 2912, 1830, 2912, 2163, 2148, 2912, 1854,
3672 1838, 2413, 1625, 2414, 2415, 2912, 2912, 2912, 2912, 1853,
3673 2912, 2912, 2416, 2912, 2912, 1853, 2912, 1836, 2417, 2912,
3674
3675 2163, 2912, 2912, 1854, 2912, 2912, 2912, 2912, 2912, 2912,
3676 2912, 2912, 1837, 2912, 1853, 2912, 2912, 2912, 2912, 2912,
3677 1913, 1838, 2417, 2014, 1232, 2015, 2014, 2912, 2912, 2912,
3678 2912, 2912, 2912, 2912, 2912, 2912, 2912, 1837, 2912, 2016,
3679 2912, 2912, 2912, 2912, 1913, 1838, 2418, 1630, 2419, 2420,
3680 2912, 2912, 2912, 2912, 2017, 2912, 2912, 2421, 2424, 1636,
3681 2425, 2424, 1830, 2018, 2423, 2912, 2912, 2912, 2014, 1232,
3682 2015, 2014, 2912, 2912, 2016, 2912, 2912, 1853, 2912, 2017,
3683 2324, 1568, 2325, 2324, 2016, 1926, 1854, 2018, 2423, 2017,
3684 2324, 1568, 2325, 2324, 2912, 2326, 2016, 2912, 2018, 2017,
3685
3686 2912, 2426, 1853, 2912, 2912, 2912, 2016, 2912, 2018, 1926,
3687 1854, 2084, 2912, 2912, 2017, 2912, 2912, 2326, 2912, 2326,
3688 2085, 2084, 2018, 2912, 2017, 2912, 2426, 2326, 2912, 2912,
3689 2085, 2912, 2018, 2912, 2912, 2912, 2084, 2014, 1232, 2015,
3690 2014, 2326, 2912, 2912, 2085, 2912, 2084, 2912, 2912, 2912,
3691 2912, 2326, 2912, 2016, 2085, 2178, 1421, 2179, 2180, 2912,
3692 2912, 2912, 2427, 2912, 2912, 2912, 2912, 2912, 2017, 2912,
3693 2912, 1830, 2912, 2335, 1019, 2336, 2337, 2018, 2335, 1019,
3694 2336, 2337, 2912, 2912, 2912, 2912, 1853, 2427, 2912, 1793,
3695 2912, 2912, 2163, 2017, 1793, 1854, 2912, 2912, 2912, 2912,
3696
3697 2912, 2018, 2912, 2912, 2338, 2912, 2912, 2912, 2912, 2338,
3698 2912, 1853, 2912, 2339, 2912, 2912, 2163, 2912, 2339, 1854,
3699 2432, 1645, 2433, 2434, 2439, 1111, 2440, 2441, 2912, 2338,
3700 2912, 2435, 2912, 2912, 2338, 2912, 1830, 2339, 2912, 2912,
3701 2381, 2912, 2339, 2912, 2912, 2912, 2367, 1053, 2368, 2369,
3702 2912, 1853, 2912, 2912, 2912, 2442, 2912, 2912, 2912, 1926,
3703 1854, 2912, 2370, 2912, 2443, 2367, 1053, 2368, 2369, 2912,
3704 2912, 2912, 2912, 2912, 2912, 2444, 1853, 2371, 2912, 2912,
3705 2442, 2370, 2912, 1926, 1854, 2912, 2372, 2912, 2443, 2912,
3706 2912, 2912, 2912, 2912, 2912, 2912, 2371, 2912, 2912, 2912,
3707
3708 2444, 2912, 2371, 2912, 2445, 2372, 2349, 1033, 2350, 2349,
3709 2372, 2912, 2912, 2912, 2912, 2912, 2349, 1033, 2350, 2349,
3710 2912, 2371, 1948, 2356, 1041, 2357, 2356, 2912, 2445, 2372,
3711 2912, 2912, 1948, 2912, 2912, 2912, 2912, 2035, 2912, 1956,
3712 2912, 2912, 2912, 2455, 2912, 2912, 2036, 2035, 2912, 2912,
3713 2912, 2912, 2912, 2455, 2042, 2912, 2036, 2912, 2912, 2912,
3714 2459, 2912, 2035, 2043, 2912, 2912, 2912, 2455, 2912, 2912,
3715 2036, 2912, 2035, 2356, 1041, 2357, 2356, 2455, 2912, 2042,
3716 2036, 2912, 2912, 2912, 2459, 2912, 2912, 2043, 2912, 1956,
3717 1794, 395, 1795, 1796, 2912, 2361, 1047, 2362, 2363, 2361,
3718
3719 1047, 2362, 2363, 2912, 2042, 2912, 1789, 2912, 2912, 2912,
3720 2459, 2364, 2912, 2043, 2912, 2364, 2912, 1722, 2912, 1722,
3721 2912, 2912, 2361, 1047, 2362, 2363, 2365, 2912, 2912, 2042,
3722 2365, 2912, 2912, 2460, 2459, 2366, 2912, 2043, 2364, 2366,
3723 2912, 2912, 1722, 2912, 1722, 2367, 1053, 2368, 2369, 2912,
3724 2912, 2365, 2912, 2365, 2912, 2365, 2912, 2460, 2912, 2366,
3725 2912, 2370, 2366, 2366, 2367, 1053, 2368, 2369, 2912, 2912,
3726 2912, 2912, 2912, 2912, 2462, 2912, 2371, 2912, 2365, 2912,
3727 2370, 2367, 1053, 2368, 2367, 2372, 2366, 2912, 2912, 2912,
3728 2912, 2367, 1053, 2368, 2367, 2371, 2912, 2370, 2912, 2462,
3729
3730 2912, 2371, 2912, 2463, 2372, 2912, 2912, 2370, 2912, 2372,
3731 2912, 2912, 2464, 2367, 1053, 2368, 2369, 2912, 2912, 2912,
3732 2371, 2465, 2464, 2912, 2912, 2912, 2912, 2463, 2372, 2370,
3733 2912, 2465, 2367, 1053, 2368, 2369, 2912, 2464, 2912, 2912,
3734 2912, 2912, 2912, 2912, 2371, 2465, 2912, 2464, 2370, 2912,
3735 2912, 2912, 2912, 2372, 2912, 2465, 2912, 2912, 2912, 2912,
3736 2912, 2467, 2912, 2371, 2367, 1053, 2368, 2369, 2912, 2371,
3737 2912, 2912, 2372, 2912, 2912, 2912, 2912, 2372, 2912, 2912,
3738 2370, 2367, 1053, 2368, 2369, 2912, 2467, 2912, 2371, 2912,
3739 2912, 2367, 1053, 2368, 2369, 2371, 2372, 2370, 2361, 1047,
3740
3741 2362, 2375, 2912, 2468, 2372, 2912, 2912, 2370, 2912, 2912,
3742 2912, 2912, 2371, 2912, 2364, 2912, 2912, 2912, 2912, 2469,
3743 2371, 2372, 2371, 2912, 2912, 2912, 2912, 2468, 2372, 2376,
3744 2912, 2372, 2470, 2912, 2912, 2912, 2912, 2371, 2377, 2912,
3745 2912, 2912, 2912, 2469, 2912, 2372, 2912, 2371, 2384, 1070,
3746 2385, 2386, 2912, 2912, 2376, 2372, 2470, 2912, 2384, 1070,
3747 2385, 2386, 2377, 2912, 2364, 2912, 2912, 2912, 2378, 1064,
3748 2379, 2378, 2912, 2912, 2364, 2912, 2912, 2471, 2912, 2387,
3749 2378, 1064, 2379, 2378, 2381, 2912, 2912, 2912, 2388, 2387,
3750 2912, 2912, 2912, 2912, 2912, 2912, 2381, 2472, 2388, 2473,
3751
3752 2912, 2912, 2471, 2912, 2387, 2912, 2912, 2912, 2474, 2912,
3753 2912, 2473, 2388, 2912, 2387, 2384, 1070, 2385, 2384, 2912,
3754 2474, 2472, 2388, 2912, 2473, 2384, 1070, 2385, 2384, 2912,
3755 2912, 2364, 2474, 2912, 2912, 2912, 2473, 2384, 1070, 2385,
3756 2386, 2364, 2912, 2912, 2474, 2912, 2478, 2912, 2912, 2912,
3757 2912, 2912, 2912, 2364, 2912, 2479, 2478, 2384, 1070, 2385,
3758 2386, 2912, 2912, 2912, 2912, 2479, 2912, 2912, 2376, 2912,
3759 2912, 2478, 2912, 2364, 2912, 2912, 2912, 2377, 2912, 2479,
3760 2912, 2478, 2912, 2912, 2912, 2912, 2480, 2912, 2387, 2479,
3761 2912, 2912, 2912, 2376, 2912, 2912, 2912, 2388, 2912, 2912,
3762
3763 2912, 2377, 2384, 1070, 2385, 2386, 2384, 1070, 2385, 2386,
3764 2912, 2480, 2912, 2387, 2912, 2912, 2912, 2912, 2364, 2912,
3765 2912, 2388, 2364, 2384, 1070, 2385, 2386, 2912, 2912, 1833,
3766 1053, 1834, 1835, 2387, 2912, 2912, 2912, 2387, 2912, 2364,
3767 2912, 2481, 2388, 2912, 2482, 1836, 2388, 2912, 2912, 2912,
3768 2428, 1641, 2429, 2430, 2387, 2912, 2912, 2912, 2387, 2912,
3769 1837, 2421, 2387, 2388, 2483, 2481, 2388, 2912, 2482, 2485,
3770 2388, 2912, 2912, 2214, 1462, 2215, 2216, 2912, 2912, 2387,
3771 2912, 1892, 2912, 2912, 2912, 1837, 2912, 2388, 2483, 1836,
3772 1893, 2912, 2912, 2485, 2912, 1990, 1206, 1991, 1990, 2912,
3773
3774 2912, 2912, 2912, 2912, 1837, 2912, 1892, 2912, 2912, 2912,
3775 2148, 1992, 2912, 1838, 1893, 2399, 1610, 2400, 2399, 2912,
3776 2912, 2912, 2912, 2912, 2912, 2912, 1993, 2912, 2493, 1837,
3777 2912, 1992, 2912, 2912, 2148, 1994, 2912, 1838, 2486, 1688,
3778 2487, 2488, 2912, 2912, 2912, 2912, 2062, 2912, 2912, 2416,
3779 2912, 1993, 2494, 2493, 1836, 2063, 2912, 2912, 2912, 1994,
3780 2912, 2912, 2912, 2912, 2912, 1990, 1206, 1991, 1990, 1837,
3781 2912, 2062, 2399, 1610, 2400, 2399, 2494, 1913, 1838, 2063,
3782 2912, 1992, 1990, 1206, 1991, 1990, 2912, 2912, 1992, 2912,
3783 2912, 2912, 2495, 2912, 1837, 2912, 1993, 2912, 1992, 2912,
3784
3785 2912, 1913, 1838, 2062, 2912, 1994, 2912, 2912, 2912, 2494,
3786 2912, 2912, 2063, 1993, 2912, 2912, 2912, 2495, 2912, 2912,
3787 2912, 1993, 2496, 2912, 2405, 1617, 2406, 2405, 2062, 1994,
3788 2912, 2912, 2912, 2494, 2912, 2503, 2063, 2912, 1993, 2912,
3789 1836, 2405, 1617, 2406, 2405, 2912, 2496, 2499, 1701, 2500,
3790 2501, 2912, 2503, 2912, 2912, 1909, 2912, 1836, 2502, 2405,
3791 1617, 2406, 2407, 1836, 1910, 2912, 2912, 2912, 2912, 2912,
3792 2408, 2912, 1909, 2912, 2912, 1836, 2912, 2912, 1837, 2912,
3793 1909, 1910, 1833, 1053, 1834, 1835, 1913, 1838, 1910, 2912,
3794 1837, 2912, 2912, 2000, 1217, 2001, 2000, 1909, 1836, 1838,
3795
3796 2912, 2912, 2912, 1837, 2912, 1910, 2912, 2912, 2912, 2002,
3797 1913, 1838, 2912, 1837, 2912, 1837, 2000, 1217, 2001, 2000,
3798 2505, 2912, 1838, 1838, 2003, 2912, 2912, 2912, 2413, 1625,
3799 2414, 2413, 2002, 2004, 2912, 2912, 2912, 2912, 1837, 1972,
3800 2912, 2912, 2912, 2912, 1836, 2505, 1838, 2003, 2912, 2003,
3801 2413, 1625, 2414, 2413, 2912, 2912, 2506, 2004, 2912, 1909,
3802 2912, 1972, 2413, 1625, 2414, 2415, 1836, 2912, 1910, 2912,
3803 2912, 2912, 2003, 2416, 2912, 2912, 2912, 2912, 1836, 2912,
3804 2506, 1909, 2912, 2912, 1909, 1833, 1053, 1834, 1835, 2912,
3805 1910, 2912, 1910, 1837, 2912, 2912, 2912, 2912, 2912, 2912,
3806
3807 2912, 1836, 1838, 2912, 2912, 2912, 1909, 2912, 2418, 1630,
3808 2419, 2418, 2912, 2912, 1910, 2912, 1837, 2912, 1837, 2503,
3809 2912, 2912, 2912, 2912, 1830, 1838, 1838, 2418, 1630, 2419,
3810 2418, 2418, 1630, 2419, 2420, 2912, 2912, 2912, 2503, 1923,
3811 2912, 1837, 2421, 1830, 2912, 2912, 2912, 1830, 1924, 1838,
3812 2507, 1709, 2508, 2509, 2014, 1232, 2015, 2014, 1923, 2912,
3813 2912, 2510, 1842, 2912, 1923, 2912, 1830, 1924, 2912, 2912,
3814 2016, 1843, 1924, 2912, 2912, 2912, 2014, 1232, 2015, 2014,
3815 2912, 1853, 2912, 1923, 2912, 2017, 2912, 1842, 2912, 1926,
3816 1854, 1924, 2016, 2912, 2514, 1843, 2424, 1636, 2425, 2424,
3817
3818 2912, 2209, 874, 2210, 2211, 2912, 1853, 2017, 2912, 2511,
3819 2017, 2912, 2016, 1926, 1854, 2912, 2018, 1789, 2514, 2424,
3820 1636, 2425, 2424, 2912, 2912, 2912, 2912, 2084, 1722, 2912,
3821 1722, 2912, 2017, 2512, 2511, 2016, 2085, 2912, 2912, 2912,
3822 2018, 2912, 2014, 1232, 2015, 2014, 2912, 2912, 2912, 2912,
3823 2084, 2912, 2084, 1722, 2912, 1722, 2512, 2512, 2016, 2085,
3824 2085, 2428, 1641, 2429, 2428, 2428, 1641, 2429, 2428, 2513,
3825 2912, 2912, 2503, 2017, 2912, 2084, 2503, 1847, 2912, 2912,
3826 2512, 1847, 2018, 2085, 2432, 1645, 2433, 2432, 2432, 1645,
3827 2433, 2432, 1918, 2912, 2513, 1972, 1918, 2912, 2017, 1972,
3828
3829 1830, 1919, 2912, 2912, 1830, 1919, 2018, 2432, 1645, 2433,
3830 2434, 2439, 1111, 2440, 2441, 1923, 2912, 1918, 2435, 1923,
3831 2912, 1918, 2912, 1830, 1924, 1919, 2912, 2381, 1924, 1919,
3832 2439, 1111, 2440, 2441, 2439, 1111, 2440, 2441, 1842, 2912,
3833 1923, 2912, 2442, 2912, 1923, 2912, 2381, 1843, 1924, 2912,
3834 2381, 2443, 1924, 2367, 1053, 2368, 2369, 2367, 1053, 2368,
3835 2369, 2442, 2912, 1842, 2912, 2442, 2912, 2442, 2912, 2370,
3836 2443, 1843, 2912, 2370, 2443, 2443, 2912, 2912, 2912, 2912,
3837 2912, 2912, 2517, 2912, 2371, 2912, 2442, 2912, 2371, 2912,
3838 2442, 2912, 2912, 2372, 2443, 2912, 2518, 2372, 2443, 2367,
3839
3840 1053, 2368, 2369, 2367, 1053, 2368, 2369, 2517, 2912, 2371,
3841 2912, 2912, 2912, 2371, 2912, 2370, 2912, 2372, 2912, 2370,
3842 2518, 2372, 2527, 1189, 2528, 2529, 2544, 1206, 2545, 2544,
3843 2371, 2912, 2912, 2530, 2371, 2912, 2912, 2519, 1789, 2372,
3844 2912, 2912, 2546, 2372, 2520, 2912, 2912, 2912, 2912, 1722,
3845 2912, 1722, 2912, 2912, 2912, 2371, 2912, 2547, 2912, 2371,
3846 2912, 2519, 2912, 2372, 2912, 2912, 2548, 2372, 2520, 1794,
3847 395, 1795, 1796, 2912, 1722, 2912, 1722, 2367, 1053, 2368,
3848 2369, 2912, 2547, 2912, 2912, 1789, 2912, 2912, 2912, 2912,
3849 2548, 2912, 2912, 2370, 2912, 2912, 1722, 2912, 1722, 2912,
3850
3851 2912, 2912, 2542, 2367, 1053, 2368, 2369, 2912, 2371, 2554,
3852 1217, 2555, 2554, 2912, 2912, 2549, 2912, 2372, 2912, 2370,
3853 2912, 1722, 2912, 1722, 2912, 2556, 2912, 2542, 2912, 2912,
3854 2912, 2912, 2912, 2371, 2371, 2912, 2912, 2912, 2912, 2549,
3855 2557, 2372, 2912, 2372, 2550, 2367, 1053, 2368, 2369, 2558,
3856 2367, 1053, 2368, 2369, 2912, 2912, 2912, 2912, 2912, 2371,
3857 2912, 2370, 2912, 2912, 2912, 2557, 2370, 2372, 2550, 2912,
3858 2912, 2912, 2912, 2558, 2912, 2912, 2371, 2912, 2912, 2912,
3859 2912, 2371, 2912, 2559, 2912, 2372, 2367, 1053, 2368, 2369,
3860 2372, 2560, 2367, 1053, 2368, 2369, 2384, 1070, 2385, 2386,
3861
3862 2912, 2371, 2370, 2912, 2912, 2912, 2371, 2559, 2370, 2372,
3863 2912, 2912, 2364, 2912, 2372, 2560, 2912, 2371, 2912, 2912,
3864 2912, 2561, 2912, 2371, 2912, 2912, 2372, 2387, 2912, 2562,
3865 2912, 2912, 2372, 2912, 2563, 2912, 2388, 2912, 2384, 1070,
3866 2385, 2386, 2371, 2912, 2912, 2912, 2561, 2912, 2371, 2912,
3867 2372, 2912, 2387, 2562, 2364, 2912, 2372, 2912, 2563, 2912,
3868 2388, 2568, 1232, 2569, 2568, 2912, 2912, 2912, 2912, 2387,
3869 2912, 2912, 2912, 2384, 1070, 2385, 2386, 2570, 2388, 2564,
3870 2912, 2912, 2912, 2384, 1070, 2385, 2386, 2912, 2912, 2364,
3871 2912, 2912, 2571, 2912, 2387, 2912, 2912, 2912, 2912, 2364,
3872
3873 2912, 2572, 2388, 2564, 2387, 2384, 1070, 2385, 2386, 2912,
3874 2912, 2577, 2912, 2388, 2387, 2912, 2912, 2571, 2912, 2912,
3875 2912, 2364, 2912, 2388, 2578, 2572, 2912, 2912, 2912, 2387,
3876 2912, 2912, 2912, 2912, 2579, 2577, 2387, 2388, 2912, 2387,
3877 2384, 1070, 2385, 2386, 2912, 2388, 2912, 2388, 2578, 2912,
3878 2912, 2912, 2912, 2912, 2912, 2912, 2364, 2912, 2912, 2579,
3879 2912, 2387, 2486, 1688, 2487, 2486, 2912, 2912, 2912, 2388,
3880 2912, 2387, 2912, 1972, 2486, 1688, 2487, 2486, 1836, 2912,
3881 2388, 2912, 2912, 2580, 2912, 1972, 2912, 2912, 2912, 2912,
3882 1836, 2912, 2912, 1909, 2912, 2912, 2387, 2912, 2912, 2912,
3883
3884 2912, 2912, 1910, 2912, 2388, 1909, 2912, 2580, 2582, 1760,
3885 2583, 2584, 2912, 2912, 1910, 2912, 2912, 2912, 1909, 2502,
3886 2486, 1688, 2487, 2488, 1836, 2912, 1910, 2912, 2912, 2912,
3887 1909, 2416, 2912, 2912, 2912, 2912, 1836, 2912, 1910, 1837,
3888 2912, 2912, 2490, 1692, 2491, 2490, 2912, 1913, 1838, 2912,
3889 2912, 1837, 2912, 1972, 2490, 1692, 2491, 2490, 1847, 2912,
3890 1838, 2912, 2912, 2912, 1837, 1972, 2912, 2912, 2912, 2912,
3891 1847, 1913, 1838, 1918, 2912, 2912, 1837, 2490, 1692, 2491,
3892 2492, 2912, 1919, 2912, 1838, 1918, 2912, 2912, 2435, 2912,
3893 2912, 2912, 2912, 2912, 1919, 2912, 2912, 2912, 1918, 1990,
3894
3895 1206, 1991, 1990, 2912, 2912, 2912, 1919, 2912, 1892, 2912,
3896 1918, 2912, 2912, 2912, 2912, 1992, 2912, 1893, 1919, 1990,
3897 1206, 1991, 1990, 2912, 2912, 2912, 2588, 2912, 2912, 2912,
3898 1993, 2912, 2912, 1892, 2912, 1992, 2912, 2912, 2912, 1994,
3899 2912, 1893, 2912, 2912, 2589, 2302, 1544, 2303, 2302, 2912,
3900 1993, 2588, 2912, 2912, 2912, 1993, 2912, 2912, 2912, 1994,
3901 2912, 1992, 2912, 1994, 1833, 1053, 1834, 1835, 2912, 2589,
3902 2912, 2912, 2912, 2912, 2912, 1993, 1993, 2912, 2912, 2912,
3903 1836, 2912, 2304, 1994, 2912, 1994, 2912, 2499, 1701, 2500,
3904 2499, 2499, 1701, 2500, 2499, 1837, 2912, 2912, 2498, 2912,
3905
3906 2912, 1993, 2498, 1836, 1838, 2912, 2304, 1836, 2912, 1994,
3907 2590, 1768, 2591, 2590, 2499, 1701, 2500, 2501, 1909, 2912,
3908 1837, 2592, 1909, 2912, 2912, 2502, 1992, 1910, 1838, 2912,
3909 1836, 1910, 2312, 1555, 2313, 2312, 2912, 2912, 2912, 2912,
3910 2912, 1993, 2912, 1909, 2912, 1837, 2912, 1909, 2002, 2066,
3911 1994, 1910, 2912, 2912, 1838, 1910, 2912, 2912, 2912, 2912,
3912 2912, 2912, 2912, 2003, 2912, 2912, 1993, 2912, 2912, 2314,
3913 1837, 2912, 2004, 2066, 1994, 2912, 2912, 2912, 1838, 2507,
3914 1709, 2508, 2507, 2912, 2912, 2912, 2912, 2912, 2003, 2912,
3915 2498, 2912, 2912, 2314, 2912, 1830, 2004, 2593, 1772, 2594,
3916
3917 2593, 2507, 1709, 2508, 2507, 2912, 2912, 2912, 2595, 2912,
3918 1923, 2912, 2498, 2002, 2912, 2912, 2912, 1830, 2912, 1924,
3919 2912, 2912, 2912, 2507, 1709, 2508, 2509, 2912, 2003, 2912,
3920 2912, 2912, 1923, 2912, 2510, 1923, 2073, 2004, 2912, 1830,
3921 2912, 1924, 2912, 1924, 2912, 2912, 2014, 1232, 2015, 2014,
3922 2912, 2912, 2912, 2003, 1842, 2912, 2912, 1923, 2912, 2912,
3923 2073, 2004, 2016, 1843, 2912, 1924, 2014, 1232, 2015, 2014,
3924 2912, 2912, 2912, 2596, 2912, 2912, 2912, 2017, 2912, 1842,
3925 2912, 2912, 2016, 2912, 2912, 2912, 2018, 1843, 2912, 2912,
3926 2912, 2597, 2324, 1568, 2325, 2324, 2912, 2017, 2596, 2912,
3927
3928 2912, 2912, 2017, 2912, 2912, 2912, 2018, 2912, 2016, 2912,
3929 2018, 2912, 2912, 2912, 2912, 2912, 2597, 2912, 2912, 2912,
3930 2912, 2912, 2017, 2017, 2912, 2912, 2912, 2912, 2912, 2326,
3931 2018, 2912, 2018, 2912, 2912, 2912, 2367, 1053, 2368, 2369,
3932 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2017, 2912,
3933 2912, 2912, 2370, 2326, 2912, 2912, 2018, 2598, 1778, 2599,
3934 2598, 2912, 2367, 1053, 2368, 2369, 2912, 2371, 2600, 2912,
3935 2912, 2912, 2912, 2016, 2602, 2912, 2372, 2912, 2370, 2367,
3936 1053, 2368, 2369, 2912, 2912, 2912, 2912, 2912, 2017, 2912,
3937 2912, 2912, 2371, 2371, 2912, 2370, 2088, 2018, 2602, 2912,
3938
3939 2372, 2912, 2372, 2603, 2912, 2912, 2912, 2912, 2604, 2912,
3940 2371, 2912, 2912, 2017, 2367, 1053, 2368, 2369, 2371, 2372,
3941 2088, 2018, 2912, 2912, 2912, 2912, 2372, 2603, 2912, 2912,
3942 2370, 2912, 2912, 2604, 2912, 2371, 2527, 1189, 2528, 2527,
3943 2912, 2912, 2912, 2372, 2912, 2371, 2912, 2526, 2527, 1189,
3944 2528, 2527, 1789, 2912, 2372, 2912, 2912, 2605, 2912, 2526,
3945 2912, 2912, 2912, 2912, 1789, 2912, 2912, 1877, 2912, 2912,
3946 2371, 2531, 1193, 2532, 2531, 2912, 1878, 2912, 2372, 1877,
3947 2912, 2605, 2526, 2531, 1193, 2532, 2531, 1793, 1878, 2912,
3948 2912, 2912, 1877, 2912, 2526, 2912, 2912, 2912, 2912, 1793,
3949
3950 1878, 2912, 1872, 2912, 1877, 2531, 1193, 2532, 2533, 2912,
3951 2912, 1873, 1878, 2912, 1872, 2912, 2530, 2537, 1199, 2538,
3952 2537, 2912, 2912, 1873, 2912, 2912, 2912, 1872, 2526, 2912,
3953 2912, 2912, 2912, 1793, 2912, 1873, 1874, 2912, 2912, 1872,
3954 2537, 1199, 2538, 2537, 2912, 1875, 2912, 1873, 1872, 2912,
3955 2912, 2526, 2537, 1199, 2538, 2539, 1793, 1873, 2912, 2912,
3956 2912, 1874, 2912, 2530, 2912, 2912, 2912, 2912, 2912, 1875,
3957 2912, 1872, 2912, 1872, 1794, 395, 1795, 1796, 2912, 2912,
3958 1873, 1873, 2912, 1874, 2544, 1206, 2545, 2544, 2912, 2912,
3959 1789, 2912, 1875, 2912, 2912, 2912, 1872, 2912, 2912, 2912,
3960
3961 2546, 1722, 2912, 1722, 1873, 2912, 2912, 2912, 1874, 2912,
3962 2912, 2912, 2912, 2612, 2912, 2547, 1875, 2912, 2544, 1206,
3963 2545, 2544, 2912, 2912, 2548, 2912, 1722, 2912, 1722, 2544,
3964 1206, 2545, 2544, 2912, 2546, 2912, 2912, 2612, 2912, 2912,
3965 2547, 2544, 1206, 2545, 2544, 2546, 2912, 2912, 2548, 2613,
3966 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2615, 2614, 2912,
3967 2613, 2544, 1206, 2545, 2544, 2912, 2912, 2912, 2912, 2614,
3968 2912, 2912, 2547, 2912, 2613, 2912, 2912, 2546, 2912, 2912,
3969 2912, 2548, 2614, 2912, 2912, 2613, 2912, 2912, 2912, 2912,
3970 2616, 2912, 2547, 2614, 2912, 2912, 2912, 2547, 2912, 2912,
3971
3972 2912, 2548, 2912, 2912, 2912, 2548, 2544, 1206, 2545, 2544,
3973 2367, 1053, 2368, 2369, 2912, 2616, 2912, 2547, 2912, 2912,
3974 2912, 2912, 2546, 2912, 2912, 2548, 2370, 2912, 2367, 1053,
3975 2368, 2369, 2554, 1217, 2555, 2554, 2912, 2547, 2912, 2618,
3976 2912, 2371, 2912, 2912, 2370, 2617, 2548, 2912, 2556, 2912,
3977 2372, 2554, 1217, 2555, 2554, 2912, 2912, 2912, 2912, 2371,
3978 2912, 2912, 2547, 2557, 2618, 2912, 2371, 2556, 2372, 2617,
3979 2548, 2619, 2558, 2912, 2372, 2912, 2912, 2554, 1217, 2555,
3980 2554, 2912, 2557, 2912, 2371, 2912, 2912, 2912, 2557, 2912,
3981 2912, 2558, 2372, 2556, 2912, 2619, 2558, 2554, 1217, 2555,
3982
3983 2554, 2912, 2912, 2554, 1217, 2555, 2554, 2557, 2557, 2554,
3984 1217, 2555, 2554, 2622, 2912, 2558, 2912, 2558, 2912, 2556,
3985 2912, 2912, 2912, 2912, 2912, 2556, 2912, 2912, 2557, 2912,
3986 2912, 2912, 2623, 2557, 2557, 2912, 2912, 2558, 2912, 2912,
3987 2557, 2558, 2912, 2558, 2912, 2912, 2912, 2912, 2624, 2558,
3988 2912, 2912, 2912, 2557, 2912, 2912, 2912, 2623, 2912, 2557,
3989 2912, 2558, 2912, 2912, 2912, 2557, 2912, 2558, 2367, 1053,
3990 2368, 2369, 2624, 2558, 2367, 1053, 2368, 2369, 2367, 1053,
3991 2368, 2369, 2912, 2912, 2370, 2912, 2912, 2912, 2912, 2912,
3992 2370, 2912, 2912, 2912, 2370, 2912, 2912, 2625, 2912, 2371,
3993
3994 2628, 1306, 2629, 2630, 2912, 2371, 2912, 2912, 2372, 2371,
3995 2912, 2912, 2912, 2912, 2372, 2912, 2370, 2626, 2372, 2627,
3996 2912, 2912, 2625, 2912, 2371, 2912, 2912, 2912, 2912, 2912,
3997 2371, 2371, 2372, 2912, 2371, 2912, 2912, 2912, 2372, 2912,
3998 2372, 2626, 2372, 2627, 2384, 1070, 2385, 2386, 2912, 2384,
3999 1070, 2385, 2386, 2912, 2912, 2912, 2371, 2912, 2912, 2912,
4000 2364, 2912, 2912, 2912, 2372, 2364, 2568, 1232, 2569, 2568,
4001 2912, 2912, 2912, 2631, 2912, 2387, 2912, 2912, 2912, 2912,
4002 2387, 2912, 2570, 2912, 2388, 2912, 2912, 2912, 2912, 2388,
4003 2912, 2912, 2632, 2568, 1232, 2569, 2568, 2571, 2631, 2912,
4004
4005 2387, 2912, 2912, 2912, 2912, 2387, 2572, 2912, 2388, 2570,
4006 2912, 2912, 2912, 2388, 2912, 2912, 2632, 2912, 2912, 2912,
4007 2912, 2912, 2571, 2912, 2635, 2568, 1232, 2569, 2568, 2912,
4008 2572, 2912, 2912, 2636, 2912, 2568, 1232, 2569, 2568, 2912,
4009 2912, 2570, 2568, 1232, 2569, 2568, 2912, 2912, 2912, 2635,
4010 2912, 2637, 2912, 2912, 2912, 2912, 2635, 2636, 2570, 2912,
4011 2912, 2568, 1232, 2569, 2568, 2636, 2571, 2912, 2912, 2912,
4012 2912, 2638, 2912, 2571, 2912, 2572, 2912, 2570, 2912, 2912,
4013 2912, 2635, 2572, 2912, 2912, 2912, 2912, 2912, 2912, 2636,
4014 2912, 2571, 2571, 2912, 2912, 2912, 2638, 2912, 2571, 2572,
4015
4016 2639, 2572, 2384, 1070, 2385, 2386, 2572, 2384, 1070, 2385,
4017 2386, 2912, 2384, 1070, 2385, 2386, 2912, 2571, 2364, 2912,
4018 2912, 2912, 2912, 2364, 2639, 2572, 2912, 2912, 2364, 2912,
4019 2912, 2644, 2912, 2387, 2912, 2912, 2912, 2912, 2387, 2912,
4020 2912, 2912, 2388, 2387, 2912, 2912, 2912, 2388, 2912, 2912,
4021 2645, 2912, 2388, 2646, 2912, 2912, 2644, 2912, 2387, 2647,
4022 1325, 2648, 2649, 2387, 2912, 2912, 2388, 2912, 2387, 2912,
4023 2912, 2388, 2912, 2912, 2645, 2364, 2388, 2646, 2582, 1760,
4024 2583, 2582, 2582, 1760, 2583, 2582, 2912, 2912, 2912, 2498,
4025 2387, 2912, 2912, 2498, 1836, 2912, 2912, 2912, 1836, 2388,
4026
4027 2582, 1760, 2583, 2584, 2912, 2912, 2912, 2912, 2912, 1909,
4028 2912, 2502, 2912, 1909, 2912, 2387, 1836, 2912, 1910, 2912,
4029 2912, 2912, 1910, 2388, 2912, 2912, 2912, 2912, 2912, 2912,
4030 2912, 1837, 2912, 2912, 1909, 2912, 2912, 2912, 1909, 2912,
4031 1838, 2912, 1910, 2912, 2912, 2912, 1910, 2585, 1763, 2586,
4032 2585, 2585, 1763, 2586, 2585, 2912, 1837, 2912, 2498, 2912,
4033 2912, 2912, 2498, 1847, 1838, 2912, 2912, 1847, 2585, 1763,
4034 2586, 2587, 2912, 2399, 1610, 2400, 2399, 2912, 1918, 2510,
4035 2912, 2912, 1918, 2912, 2912, 2912, 2912, 1919, 2912, 1992,
4036 2912, 1919, 2912, 2912, 2912, 2845, 1641, 2846, 2847, 1892,
4037
4038 2912, 2912, 2912, 1918, 1993, 2912, 2838, 1918, 1893, 2912,
4039 2304, 1919, 2912, 1994, 2912, 1919, 2912, 1990, 1206, 1991,
4040 1990, 2912, 2912, 2912, 1892, 2912, 2447, 2912, 2912, 1993,
4041 2912, 2912, 1893, 1992, 2304, 2448, 2912, 1994, 2590, 1768,
4042 2591, 2590, 2912, 2912, 2590, 1768, 2591, 2590, 1993, 2592,
4043 2912, 2447, 2912, 2912, 1992, 2592, 2912, 2650, 2912, 2448,
4044 1992, 1990, 1206, 1991, 1990, 2912, 2912, 2912, 2912, 2062,
4045 2912, 2912, 2912, 1993, 2912, 2062, 2912, 1992, 2063, 2912,
4046 2912, 2650, 2912, 2912, 2063, 2912, 2912, 2593, 1772, 2594,
4047 2593, 2912, 1993, 2912, 2062, 2912, 2912, 2912, 2595, 2912,
4048
4049 2062, 1994, 2063, 2002, 2593, 1772, 2594, 2593, 2063, 2912,
4050 2000, 1217, 2001, 2000, 2912, 2595, 2912, 1993, 2003, 2912,
4051 2002, 2424, 1636, 2425, 2424, 1994, 2002, 2004, 2912, 2912,
4052 2912, 2014, 1232, 2015, 2014, 2003, 2912, 2016, 2912, 2912,
4053 2912, 2003, 2912, 2003, 2004, 2912, 2912, 2016, 2912, 2912,
4054 2004, 2004, 2017, 2598, 1778, 2599, 2598, 2912, 2326, 2912,
4055 2003, 2018, 2017, 2912, 2600, 2912, 2003, 2912, 2004, 2016,
4056 2912, 2651, 2912, 2912, 2004, 2912, 2912, 2017, 2912, 2912,
4057 2912, 2912, 2326, 2912, 2084, 2018, 2912, 2017, 2598, 1778,
4058 2599, 2598, 2912, 2085, 2912, 2651, 2912, 2912, 2912, 2600,
4059
4060 2014, 1232, 2015, 2014, 2016, 2367, 1053, 2368, 2369, 2084,
4061 2367, 1053, 2368, 2369, 2912, 2912, 2016, 2085, 2912, 2084,
4062 2912, 2370, 2912, 2912, 2912, 2912, 2370, 2912, 2085, 2912,
4063 2912, 2017, 2912, 2912, 2653, 2912, 2371, 2912, 2912, 2912,
4064 2018, 2371, 2912, 2912, 2084, 2372, 2912, 2912, 2912, 2912,
4065 2372, 2912, 2085, 2654, 2912, 2912, 2017, 2912, 2912, 2653,
4066 2912, 2371, 2912, 2912, 2018, 2912, 2371, 2912, 2912, 2372,
4067 2367, 1053, 2368, 2369, 2372, 2912, 2912, 2654, 2912, 2912,
4068 2912, 2656, 1365, 2657, 2658, 2912, 2370, 1794, 395, 1795,
4069 1796, 2544, 1206, 2545, 2544, 2912, 2912, 2370, 2912, 2912,
4070
4071 2912, 2371, 2912, 1789, 2912, 2912, 2912, 2546, 2912, 2912,
4072 2372, 2655, 2371, 2912, 1722, 2912, 1722, 2544, 1206, 2545,
4073 2544, 2372, 2547, 2912, 2912, 2671, 2371, 2912, 2912, 2912,
4074 2673, 2548, 2912, 2546, 2372, 2655, 2912, 2371, 2912, 1722,
4075 2912, 1722, 2912, 2912, 2912, 2372, 2672, 2547, 2547, 2671,
4076 2912, 2912, 2912, 2912, 2673, 2548, 2912, 2548, 2544, 1206,
4077 2545, 2544, 2544, 1206, 2545, 2544, 2912, 2912, 2912, 2912,
4078 2912, 2672, 2912, 2547, 2615, 2912, 2912, 2912, 2546, 2912,
4079 2912, 2548, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2547,
4080 2912, 2912, 2912, 2547, 2544, 1206, 2545, 2544, 2548, 2912,
4081
4082 2674, 2912, 2548, 2912, 2912, 2367, 1053, 2368, 2369, 2912,
4083 2546, 2912, 2912, 2912, 2547, 2912, 2912, 2912, 2547, 2912,
4084 2912, 2370, 2548, 2912, 2674, 2547, 2548, 2912, 2912, 2912,
4085 2912, 2912, 2912, 2912, 2548, 2675, 2371, 2677, 1388, 2678,
4086 2679, 2554, 1217, 2555, 2554, 2372, 2676, 2912, 2912, 2912,
4087 2547, 2912, 2912, 2370, 2912, 2912, 2912, 2622, 2548, 2675,
4088 2912, 2371, 2912, 2912, 2554, 1217, 2555, 2554, 2371, 2372,
4089 2676, 2912, 2557, 2912, 2680, 2912, 2912, 2372, 2912, 2912,
4090 2556, 2558, 2912, 2554, 1217, 2555, 2554, 2912, 2912, 2912,
4091 2912, 2912, 2912, 2371, 2912, 2557, 2912, 2557, 2680, 2556,
4092
4093 2912, 2372, 2683, 2912, 2558, 2558, 2912, 2912, 2912, 2367,
4094 1053, 2368, 2369, 2912, 2557, 2686, 1397, 2687, 2688, 2912,
4095 2557, 2912, 2912, 2558, 2684, 2370, 2683, 2912, 2558, 2912,
4096 2912, 2370, 2912, 2912, 2912, 2367, 1053, 2368, 2369, 2557,
4097 2371, 2628, 1306, 2629, 2628, 2912, 2371, 2558, 2684, 2372,
4098 2685, 2370, 2680, 2912, 2912, 2372, 2912, 2370, 2912, 2912,
4099 2912, 2628, 1306, 2629, 2628, 2371, 2371, 2912, 2689, 2912,
4100 2912, 2371, 2464, 2372, 2685, 2372, 2680, 2370, 2264, 2372,
4101 2912, 2465, 2912, 2912, 2628, 1306, 2629, 2630, 2912, 2912,
4102 2912, 2371, 2464, 2689, 2912, 2912, 2912, 2464, 2264, 2372,
4103
4104 2370, 2465, 2264, 2912, 2912, 2465, 2912, 2912, 2912, 2912,
4105 2384, 1070, 2385, 2386, 2912, 2371, 2912, 2464, 2912, 2912,
4106 2912, 2690, 2264, 2912, 2372, 2465, 2364, 2692, 1403, 2693,
4107 2694, 2568, 1232, 2569, 2568, 2912, 2912, 2912, 2912, 2912,
4108 2371, 2387, 2912, 2364, 2912, 2690, 2912, 2570, 2372, 2912,
4109 2388, 2691, 2912, 2568, 1232, 2569, 2568, 2912, 2387, 2912,
4110 2698, 2912, 2571, 2912, 2695, 2912, 2387, 2388, 2912, 2570,
4111 2912, 2572, 2912, 2912, 2388, 2691, 2912, 2912, 2912, 2859,
4112 1692, 2860, 2861, 2387, 2571, 2698, 2912, 2571, 2695, 2912,
4113 2852, 2388, 2699, 2572, 2912, 2572, 2568, 1232, 2569, 2568,
4114
4115 2912, 2912, 2568, 1232, 2569, 2568, 2912, 2912, 2912, 2571,
4116 2447, 2912, 2637, 2912, 2912, 2912, 2699, 2572, 2570, 2448,
4117 2912, 2912, 2568, 1232, 2569, 2568, 2912, 2571, 2384, 1070,
4118 2385, 2386, 2912, 2571, 2912, 2447, 2572, 2912, 2570, 2912,
4119 2700, 2912, 2572, 2448, 2364, 2710, 1421, 2711, 2712, 2912,
4120 2912, 2912, 2571, 2571, 2912, 2912, 2912, 2912, 2571, 2387,
4121 2572, 2364, 2572, 2701, 2700, 2912, 2572, 2912, 2388, 2709,
4122 2912, 2912, 2384, 1070, 2385, 2386, 2387, 2912, 2571, 2912,
4123 2912, 2912, 2695, 2912, 2387, 2388, 2572, 2701, 2364, 2912,
4124 2912, 2912, 2388, 2709, 2647, 1325, 2648, 2647, 2912, 2912,
4125
4126 2912, 2387, 2912, 2387, 2912, 2713, 2695, 2912, 2912, 2388,
4127 2364, 2912, 2388, 2912, 2912, 2912, 2647, 1325, 2648, 2647,
4128 2912, 2912, 2912, 2912, 2912, 2478, 2912, 2912, 2387, 2912,
4129 2713, 2264, 2364, 2912, 2479, 2912, 2388, 2912, 2647, 1325,
4130 2648, 2649, 2912, 2912, 2912, 2912, 2912, 2478, 2912, 2912,
4131 2478, 2912, 2912, 2264, 2364, 2264, 2479, 2912, 2479, 2912,
4132 2367, 1053, 2368, 2369, 2912, 2912, 2912, 2912, 2912, 2376,
4133 2912, 2912, 2478, 2912, 2912, 2714, 2370, 2264, 2377, 2912,
4134 2479, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4135 2912, 2371, 2912, 2912, 2376, 2912, 2912, 2912, 2912, 2714,
4136
4137 2372, 2722, 2377, 2715, 1931, 2716, 2715, 2912, 2912, 2912,
4138 2912, 2912, 2912, 2912, 2717, 2912, 2371, 2912, 2912, 1992,
4139 2912, 2912, 2912, 2912, 2372, 2722, 2912, 2912, 2912, 2912,
4140 2912, 2912, 2912, 2912, 1993, 2912, 2912, 2912, 2912, 2912,
4141 2912, 2912, 2066, 1994, 2912, 2723, 1462, 2724, 2725, 2912,
4142 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 1993,
4143 2912, 2370, 2367, 1053, 2368, 2369, 2066, 1994, 2718, 1935,
4144 2719, 2718, 2912, 2912, 2912, 2912, 2371, 2912, 2370, 2720,
4145 2912, 2912, 2680, 2912, 2016, 2372, 2912, 2912, 2656, 1365,
4146 2657, 2656, 2912, 2371, 2912, 2726, 2912, 2912, 2912, 2017,
4147
4148 2912, 2371, 2372, 2912, 2370, 2912, 2680, 2088, 2018, 2372,
4149 2912, 2656, 1365, 2657, 2656, 2912, 2912, 2912, 2371, 2464,
4150 2726, 2912, 2912, 2912, 2017, 2271, 2372, 2370, 2465, 2912,
4151 2912, 2088, 2018, 2912, 2656, 1365, 2657, 2658, 2912, 2912,
4152 2912, 2912, 2464, 2912, 2464, 2912, 2912, 2912, 2271, 2271,
4153 2370, 2465, 2465, 2912, 2662, 1371, 2663, 2662, 2912, 2912,
4154 2912, 2912, 2912, 2912, 2912, 2371, 2912, 2464, 2912, 2912,
4155 2381, 2727, 2271, 2912, 2372, 2465, 2662, 1371, 2663, 2662,
4156 2662, 1371, 2663, 2664, 2912, 2473, 2912, 2912, 2912, 2912,
4157 2371, 2271, 2381, 2912, 2474, 2727, 2912, 2912, 2372, 2912,
4158
4159 2912, 2912, 2912, 2665, 1374, 2666, 2665, 2473, 2912, 2912,
4160 2473, 2447, 2912, 2271, 2667, 2271, 2474, 2733, 2474, 1948,
4161 2448, 2912, 2665, 1374, 2666, 2665, 2544, 1206, 2545, 2544,
4162 2912, 2912, 2473, 2667, 2035, 2912, 2447, 2271, 1948, 2912,
4163 2474, 2733, 2546, 2036, 2448, 2668, 1378, 2669, 2668, 2668,
4164 1378, 2669, 2668, 2035, 2912, 2912, 2670, 2547, 2912, 2035,
4165 2670, 1956, 2036, 2912, 2734, 1956, 2548, 2036, 2912, 2912,
4166 2912, 2544, 1206, 2545, 2544, 2912, 2042, 2912, 2035, 2912,
4167 2042, 2912, 2547, 2912, 2912, 2043, 2036, 2546, 2734, 2043,
4168 2548, 2544, 1206, 2545, 2544, 2912, 2912, 2703, 1414, 2704,
4169
4170 2705, 2042, 2547, 2912, 2912, 2042, 2912, 2546, 2912, 2043,
4171 2912, 2548, 2735, 2043, 2912, 2912, 2912, 2912, 2912, 2912,
4172 2736, 2912, 2547, 2544, 1206, 2545, 2544, 2547, 2447, 2912,
4173 2912, 2548, 2912, 2912, 2706, 2548, 2735, 2448, 2912, 2546,
4174 2367, 1053, 2368, 2369, 2912, 2736, 2912, 2547, 2912, 2912,
4175 2912, 2912, 2912, 2447, 2547, 2548, 2370, 2912, 2706, 2912,
4176 2912, 2448, 2912, 2548, 2912, 2912, 2737, 2912, 2912, 2912,
4177 2912, 2371, 2912, 2738, 2912, 2677, 1388, 2678, 2677, 2547,
4178 2372, 2912, 2912, 2912, 2912, 2912, 2912, 2548, 2912, 2912,
4179 2737, 2370, 2677, 1388, 2678, 2677, 2371, 2912, 2738, 2912,
4180
4181 2912, 2912, 2912, 2912, 2372, 2912, 2464, 2912, 2370, 2912,
4182 2912, 2912, 2739, 2912, 2912, 2465, 2912, 2912, 2677, 1388,
4183 2678, 2679, 2912, 2464, 2912, 2912, 2912, 2912, 2912, 2739,
4184 2912, 2464, 2465, 2912, 2370, 2912, 2739, 2912, 2912, 2465,
4185 2912, 2367, 1053, 2368, 2369, 2912, 2912, 2912, 2464, 2371,
4186 2912, 2912, 2912, 2739, 2912, 2740, 2465, 2370, 2372, 2554,
4187 1217, 2555, 2554, 2912, 2912, 2912, 2741, 2912, 2912, 2912,
4188 2912, 2912, 2371, 2912, 2371, 2556, 2912, 2912, 2912, 2740,
4189 2912, 2372, 2372, 2912, 2912, 2912, 2912, 2912, 2745, 2912,
4190 2557, 2741, 2912, 2554, 1217, 2555, 2554, 2371, 2912, 2558,
4191
4192 2686, 1397, 2687, 2686, 2912, 2372, 2912, 2912, 2912, 2556,
4193 2912, 2912, 2912, 2745, 2912, 2557, 2370, 2912, 2912, 2367,
4194 1053, 2368, 2369, 2558, 2557, 2912, 2912, 2912, 2912, 2912,
4195 2912, 2464, 2912, 2558, 2912, 2370, 2746, 2744, 2912, 2912,
4196 2465, 2912, 2686, 1397, 2687, 2686, 2912, 2912, 2912, 2557,
4197 2371, 2912, 2747, 2912, 2912, 2912, 2464, 2558, 2370, 2372,
4198 2746, 2744, 2912, 2912, 2465, 2686, 1397, 2687, 2688, 2912,
4199 2912, 2912, 2912, 2464, 2912, 2371, 2912, 2747, 2912, 2744,
4200 2912, 2370, 2465, 2372, 2367, 1053, 2368, 2369, 2367, 1053,
4201 2368, 2369, 2912, 2912, 2912, 2912, 2371, 2912, 2464, 2912,
4202
4203 2370, 2912, 2680, 2744, 2370, 2372, 2465, 2912, 2912, 2912,
4204 2912, 2748, 2912, 2749, 2912, 2371, 2912, 2912, 2912, 2371,
4205 2912, 2371, 2912, 2912, 2372, 2912, 2680, 2912, 2372, 2372,
4206 2384, 1070, 2385, 2386, 2912, 2912, 2748, 2912, 2749, 2912,
4207 2371, 2912, 2912, 2912, 2371, 2912, 2364, 2912, 2372, 2912,
4208 2912, 2912, 2372, 2692, 1403, 2693, 2692, 2912, 2912, 2912,
4209 2912, 2387, 2912, 2750, 2692, 1403, 2693, 2692, 2912, 2364,
4210 2388, 2692, 1403, 2693, 2694, 2912, 2912, 2912, 2912, 2912,
4211 2364, 2912, 2912, 2912, 2478, 2912, 2387, 2364, 2750, 2912,
4212 2739, 2912, 2912, 2479, 2388, 2478, 2912, 2912, 2912, 2912,
4213
4214 2912, 2739, 2376, 2912, 2479, 2912, 2912, 2912, 2751, 2478,
4215 2912, 2377, 2912, 2912, 2739, 2912, 2912, 2479, 2912, 2912,
4216 2478, 2384, 1070, 2385, 2386, 2739, 2912, 2376, 2479, 2912,
4217 2912, 2912, 2751, 2912, 2912, 2377, 2912, 2364, 2568, 1232,
4218 2569, 2568, 2568, 1232, 2569, 2568, 2752, 2912, 2912, 2912,
4219 2912, 2912, 2387, 2912, 2570, 2912, 2912, 2912, 2570, 2912,
4220 2912, 2388, 2912, 2912, 2568, 1232, 2569, 2568, 2912, 2571,
4221 2912, 2752, 2912, 2571, 2912, 2912, 2755, 2387, 2572, 2912,
4222 2570, 2912, 2572, 2756, 2912, 2388, 2912, 2912, 2912, 2912,
4223 2912, 2912, 2912, 2757, 2571, 2571, 2912, 2912, 2571, 2912,
4224
4225 2755, 2912, 2572, 2912, 2572, 2912, 2572, 2756, 2568, 1232,
4226 2569, 2568, 2912, 2703, 1414, 2704, 2703, 2912, 2757, 2912,
4227 2571, 2912, 2912, 2912, 2570, 2912, 2912, 2912, 2572, 2381,
4228 2703, 1414, 2704, 2703, 2912, 2912, 2912, 2912, 2912, 2571,
4229 2384, 1070, 2385, 2386, 2473, 2912, 2381, 2912, 2572, 2912,
4230 2744, 2758, 2912, 2474, 2912, 2912, 2364, 2912, 2912, 2912,
4231 2912, 2473, 2912, 2912, 2571, 2912, 2912, 2744, 2912, 2473,
4232 2474, 2387, 2572, 2763, 2744, 2758, 2912, 2474, 2912, 2912,
4233 2388, 2710, 1421, 2711, 2710, 2912, 2473, 2912, 2912, 2912,
4234 2912, 2744, 2912, 2912, 2474, 2912, 2387, 2364, 2763, 2710,
4235
4236 1421, 2711, 2710, 2912, 2388, 2912, 2912, 2912, 2912, 2912,
4237 2912, 2912, 2478, 2912, 2912, 2364, 2912, 2912, 2744, 2912,
4238 2912, 2479, 2710, 1421, 2711, 2712, 2912, 2912, 2912, 2912,
4239 2478, 2912, 2912, 2912, 2912, 2912, 2744, 2478, 2364, 2479,
4240 2912, 2912, 2744, 2912, 2912, 2479, 2912, 2912, 2384, 1070,
4241 2385, 2386, 2912, 2376, 2912, 2478, 2912, 2912, 2912, 2695,
4242 2744, 2912, 2377, 2479, 2364, 2384, 1070, 2385, 2386, 2912,
4243 2912, 2715, 1931, 2716, 2715, 2764, 2912, 2912, 2376, 2387,
4244 2912, 2364, 2717, 2695, 2912, 2912, 2377, 1992, 2388, 2912,
4245 2765, 2912, 2715, 1931, 2716, 2715, 2387, 2912, 2912, 2912,
4246
4247 2764, 2912, 2062, 2717, 2387, 2388, 2912, 2912, 1992, 2912,
4248 2912, 2063, 2388, 2912, 2912, 2765, 2912, 2912, 2912, 2912,
4249 2912, 2387, 2912, 2062, 2912, 2912, 2912, 2062, 2912, 2388,
4250 2912, 2912, 2063, 2912, 2912, 2063, 1990, 1206, 1991, 1990,
4251 2912, 2912, 2718, 1935, 2719, 2718, 2912, 2912, 2062, 2912,
4252 2912, 2912, 1992, 2720, 2912, 2912, 2063, 2912, 2016, 2718,
4253 1935, 2719, 2718, 2014, 1232, 2015, 2014, 1993, 2912, 2912,
4254 2720, 2912, 2912, 2084, 2912, 2016, 1994, 2912, 2912, 2016,
4255 2912, 2912, 2085, 2912, 2912, 2367, 1053, 2368, 2369, 2912,
4256 2084, 2912, 1993, 2912, 2017, 2912, 2912, 2912, 2084, 2085,
4257
4258 1994, 2370, 2912, 2018, 2912, 2912, 2085, 2912, 2723, 1462,
4259 2724, 2723, 2912, 2912, 2912, 2084, 2371, 2912, 2766, 2017,
4260 2912, 2912, 2912, 2085, 2370, 2372, 2912, 2018, 2723, 1462,
4261 2724, 2723, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2464,
4262 2912, 2371, 2912, 2766, 2370, 2767, 2912, 2912, 2465, 2372,
4263 2723, 1462, 2724, 2725, 2912, 2912, 2912, 2912, 2912, 2464,
4264 2367, 1053, 2368, 2369, 2464, 2767, 2370, 2912, 2465, 2767,
4265 2912, 2912, 2465, 2912, 2912, 2912, 2370, 2367, 1053, 2368,
4266 2369, 2371, 2912, 2912, 2464, 2770, 2912, 2768, 2912, 2767,
4267 2372, 2371, 2465, 2370, 2912, 2912, 2912, 2912, 2912, 2912,
4268
4269 2372, 2912, 2912, 2912, 2769, 2912, 2371, 2912, 2371, 2912,
4270 2770, 2768, 2912, 2912, 2372, 2912, 2371, 2372, 2729, 1468,
4271 2730, 2729, 2912, 2912, 2372, 2729, 1468, 2730, 2731, 2769,
4272 2912, 2912, 2912, 2371, 2381, 2729, 1468, 2730, 2729, 2912,
4273 2912, 2372, 2912, 2912, 2912, 2544, 1206, 2545, 2544, 2473,
4274 2912, 2381, 2912, 2912, 2912, 2767, 2447, 2912, 2474, 2912,
4275 2912, 2546, 2772, 2912, 2912, 2448, 2473, 2912, 2912, 2912,
4276 2912, 2912, 2767, 2912, 2473, 2474, 2547, 2912, 2912, 2767,
4277 2912, 2447, 2474, 2912, 2912, 2548, 2772, 2912, 2776, 2448,
4278 2912, 2473, 2544, 1206, 2545, 2544, 2767, 2912, 2912, 2474,
4279
4280 2912, 2547, 2544, 1206, 2545, 2544, 2912, 2912, 2546, 2548,
4281 2912, 2912, 2776, 2778, 1544, 2779, 2778, 2912, 2546, 2912,
4282 2912, 2775, 2912, 2547, 2367, 1053, 2368, 2369, 2912, 2546,
4283 2912, 2912, 2548, 2547, 2912, 2912, 2912, 2912, 2912, 2912,
4284 2370, 2912, 2548, 2777, 2547, 2912, 2775, 2912, 2547, 2912,
4285 2780, 2781, 2912, 2548, 2912, 2371, 2548, 2912, 2547, 2367,
4286 1053, 2368, 2369, 2912, 2372, 2912, 2548, 2777, 2912, 2547,
4287 2367, 1053, 2368, 2369, 2780, 2370, 2781, 2548, 2912, 2912,
4288 2371, 2912, 2912, 2912, 2783, 2912, 2370, 2912, 2372, 2912,
4289 2371, 2554, 1217, 2555, 2554, 2912, 2912, 2912, 2912, 2372,
4290
4291 2912, 2371, 2788, 1555, 2789, 2788, 2912, 2556, 2912, 2783,
4292 2784, 2912, 2912, 2912, 2912, 2371, 2912, 2912, 2556, 2912,
4293 2912, 2912, 2557, 2372, 2912, 2912, 2371, 2912, 2912, 2912,
4294 2912, 2558, 2787, 2557, 2784, 2912, 2912, 2912, 2912, 2790,
4295 2912, 2912, 2558, 2367, 1053, 2368, 2369, 2557, 2628, 1306,
4296 2629, 2630, 2912, 2912, 2912, 2558, 2787, 2912, 2557, 2370,
4297 2912, 2912, 2912, 2790, 2370, 2912, 2558, 2912, 2912, 2912,
4298 2791, 2912, 2912, 2912, 2371, 2367, 1053, 2368, 2369, 2371,
4299 2912, 2912, 2912, 2372, 2912, 2912, 2912, 2912, 2372, 2912,
4300 2912, 2370, 2912, 2912, 2912, 2791, 2912, 2912, 2912, 2371,
4301
4302 2912, 2912, 2912, 2912, 2371, 2912, 2371, 2372, 2912, 2912,
4303 2912, 2912, 2372, 2912, 2912, 2792, 2384, 1070, 2385, 2386,
4304 2384, 1070, 2385, 2386, 2912, 2912, 2384, 1070, 2385, 2386,
4305 2912, 2371, 2364, 2912, 2912, 2912, 2364, 2912, 2912, 2792,
4306 2912, 2912, 2364, 2793, 2912, 2794, 2912, 2387, 2912, 2912,
4307 2912, 2387, 2912, 2912, 2912, 2912, 2388, 2387, 2912, 2912,
4308 2388, 2912, 2568, 1232, 2569, 2568, 2795, 2912, 2793, 2912,
4309 2794, 2912, 2387, 2912, 2912, 2912, 2387, 2912, 2570, 2912,
4310 2388, 2912, 2387, 2912, 2388, 2912, 2912, 2912, 2912, 2912,
4311 2795, 2797, 2912, 2571, 2568, 1232, 2569, 2568, 2568, 1232,
4312
4313 2569, 2568, 2572, 2912, 2800, 1568, 2801, 2800, 2912, 2912,
4314 2570, 2912, 2912, 2912, 2570, 2912, 2797, 2912, 2571, 2912,
4315 2570, 2912, 2912, 2912, 2912, 2571, 2572, 2912, 2912, 2571,
4316 2912, 2912, 2912, 2912, 2572, 2571, 2912, 2798, 2572, 2799,
4317 2912, 2802, 2912, 2912, 2572, 2912, 2912, 2912, 2912, 2912,
4318 2571, 2912, 2912, 2912, 2571, 2912, 2912, 2912, 2572, 2912,
4319 2571, 2798, 2572, 2799, 2912, 2802, 2912, 2912, 2572, 2384,
4320 1070, 2385, 2386, 2647, 1325, 2648, 2649, 2912, 2912, 2384,
4321 1070, 2385, 2386, 2912, 2912, 2364, 2912, 2912, 2912, 2364,
4322 2912, 2912, 2912, 2912, 2912, 2364, 2806, 2912, 2912, 2912,
4323
4324 2387, 2912, 2912, 2912, 2387, 2912, 2912, 2912, 2912, 2388,
4325 2387, 2912, 2912, 2388, 2367, 1053, 2368, 2369, 2912, 2807,
4326 2912, 2806, 2912, 2912, 2912, 2387, 2912, 2912, 2912, 2387,
4327 2370, 2912, 2912, 2388, 2912, 2387, 2912, 2388, 2912, 2912,
4328 2912, 2808, 2912, 2807, 2912, 2371, 2367, 1053, 2368, 2369,
4329 2912, 2912, 2912, 2912, 2372, 2912, 2912, 2656, 1365, 2657,
4330 2658, 2912, 2370, 2912, 2912, 2912, 2808, 2912, 2912, 2912,
4331 2371, 2810, 2912, 2370, 2912, 2912, 2912, 2371, 2372, 2912,
4332 2912, 2912, 2912, 2912, 2912, 2912, 2372, 2912, 2371, 2367,
4333 1053, 2368, 2369, 2912, 2912, 2912, 2810, 2372, 2912, 2912,
4334
4335 2912, 2912, 2371, 2912, 2912, 2370, 2544, 1206, 2545, 2544,
4336 2372, 2912, 2912, 2371, 2912, 2912, 2912, 2912, 2912, 2912,
4337 2371, 2372, 2546, 2816, 1610, 2817, 2816, 2912, 2912, 2811,
4338 2912, 2912, 2912, 2544, 1206, 2545, 2544, 2547, 2912, 2546,
4339 2912, 2912, 2912, 2912, 2912, 2371, 2548, 2815, 2912, 2546,
4340 2912, 2912, 2912, 2811, 2547, 2912, 2912, 2912, 2912, 2912,
4341 2780, 2912, 2547, 2548, 2547, 2912, 2818, 2912, 2912, 2912,
4342 2548, 2815, 2912, 2548, 2778, 1544, 2779, 2778, 2912, 2547,
4343 2912, 2912, 2912, 2912, 2780, 2912, 2912, 2548, 2912, 2547,
4344 2546, 2818, 2778, 1544, 2779, 2778, 2912, 2548, 2912, 2912,
4345
4346 2912, 2912, 2912, 2912, 2912, 2613, 2912, 2912, 2546, 2912,
4347 2912, 2780, 2912, 2912, 2614, 2544, 1206, 2545, 2544, 2912,
4348 2912, 2912, 2912, 2613, 2912, 2912, 2912, 2912, 2912, 2780,
4349 2613, 2546, 2614, 2912, 2912, 2780, 2912, 2912, 2614, 2912,
4350 2819, 2677, 1388, 2678, 2679, 2912, 2547, 2912, 2613, 2912,
4351 2912, 2912, 2912, 2780, 2912, 2548, 2614, 2370, 2367, 1053,
4352 2368, 2369, 2912, 2912, 2912, 2819, 2912, 2912, 2912, 2912,
4353 2912, 2547, 2371, 2912, 2370, 2912, 2912, 2912, 2680, 2548,
4354 2912, 2372, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2371,
4355 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2371, 2821, 2912,
4356
4357 2912, 2912, 2680, 2912, 2912, 2372, 2912, 2912, 2912, 2912,
4358 2912, 2912, 2912, 2912, 2371, 2912, 2554, 1217, 2555, 2554,
4359 2912, 2912, 2821, 2822, 1617, 2823, 2824, 2788, 1555, 2789,
4360 2788, 2912, 2556, 2912, 2825, 2912, 2912, 2912, 2912, 2370,
4361 2912, 2912, 2912, 2556, 2912, 2912, 2912, 2557, 2912, 2828,
4362 2554, 1217, 2555, 2554, 2371, 2912, 2558, 2912, 2557, 2912,
4363 2912, 2912, 2468, 2372, 2790, 2912, 2556, 2558, 2788, 1555,
4364 2789, 2788, 2557, 2912, 2828, 2829, 2912, 2912, 2912, 2371,
4365 2558, 2557, 2912, 2557, 2556, 2912, 2468, 2372, 2790, 2912,
4366 2558, 2558, 2912, 2912, 2686, 1397, 2687, 2688, 2912, 2557,
4367
4368 2829, 2912, 2912, 2912, 2912, 2790, 2557, 2912, 2558, 2912,
4369 2370, 2912, 2912, 2912, 2558, 2912, 2912, 2692, 1403, 2693,
4370 2694, 2912, 2912, 2912, 2557, 2371, 2912, 2912, 2912, 2790,
4371 2912, 2680, 2558, 2364, 2372, 2912, 2384, 1070, 2385, 2386,
4372 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2387, 2912,
4373 2371, 2912, 2364, 2912, 2695, 2680, 2912, 2388, 2372, 2830,
4374 1625, 2831, 2832, 2912, 2912, 2912, 2912, 2387, 2912, 2912,
4375 2833, 2912, 2912, 2387, 2912, 2370, 2834, 2912, 2695, 2912,
4376 2912, 2388, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4377 2371, 2912, 2387, 2912, 2912, 2912, 2912, 2912, 2468, 2372,
4378
4379 2834, 2568, 1232, 2569, 2568, 2912, 2912, 2912, 2912, 2912,
4380 2912, 2912, 2912, 2912, 2912, 2371, 2912, 2570, 2912, 2912,
4381 2912, 2912, 2468, 2372, 2835, 1630, 2836, 2837, 2912, 2912,
4382 2912, 2912, 2571, 2912, 2912, 2838, 2841, 1636, 2842, 2841,
4383 2364, 2572, 2840, 2912, 2912, 2912, 2568, 1232, 2569, 2568,
4384 2912, 2912, 2570, 2912, 2912, 2387, 2912, 2571, 2800, 1568,
4385 2801, 2800, 2570, 2481, 2388, 2572, 2840, 2571, 2800, 1568,
4386 2801, 2800, 2912, 2802, 2570, 2912, 2572, 2571, 2912, 2843,
4387 2387, 2912, 2912, 2912, 2570, 2912, 2572, 2481, 2388, 2635,
4388 2912, 2912, 2571, 2912, 2912, 2802, 2912, 2802, 2636, 2635,
4389
4390 2572, 2912, 2571, 2912, 2843, 2802, 2912, 2912, 2636, 2912,
4391 2572, 2912, 2912, 2912, 2635, 2568, 1232, 2569, 2568, 2802,
4392 2912, 2912, 2636, 2912, 2635, 2912, 2912, 2912, 2912, 2802,
4393 2912, 2570, 2636, 2710, 1421, 2711, 2712, 2912, 2912, 2912,
4394 2844, 2912, 2912, 2912, 2912, 2912, 2571, 2912, 2912, 2364,
4395 2912, 2723, 1462, 2724, 2725, 2572, 2912, 2912, 2912, 2912,
4396 2912, 2912, 2912, 2912, 2387, 2844, 2912, 2370, 2912, 2912,
4397 2695, 2571, 2912, 2388, 2912, 2912, 2912, 2912, 2912, 2572,
4398 2912, 2912, 2371, 2912, 2912, 2912, 2912, 2912, 2680, 2387,
4399 2912, 2372, 2912, 2912, 2695, 2912, 2912, 2388, 2849, 1645,
4400
4401 2850, 2851, 2367, 1053, 2368, 2369, 2912, 2371, 2912, 2852,
4402 2912, 2912, 2680, 2912, 2364, 2372, 2912, 2912, 2370, 2912,
4403 2912, 2912, 2912, 2912, 2544, 1206, 2545, 2544, 2912, 2387,
4404 2912, 2912, 2912, 2371, 2912, 2912, 2912, 2481, 2388, 2912,
4405 2546, 2912, 2854, 2912, 2816, 1610, 2817, 2816, 2912, 2912,
4406 2912, 2912, 2912, 2912, 2387, 2547, 2912, 2862, 2371, 2912,
4407 2546, 2481, 2388, 2912, 2548, 2912, 2854, 2855, 1688, 2856,
4408 2857, 2912, 2912, 2912, 2912, 2613, 2912, 2912, 2833, 2912,
4409 2547, 2863, 2862, 2370, 2614, 2912, 2912, 2912, 2548, 2912,
4410 2912, 2912, 2912, 2912, 2544, 1206, 2545, 2544, 2371, 2912,
4411
4412 2613, 2816, 1610, 2817, 2816, 2863, 2468, 2372, 2614, 2912,
4413 2546, 2544, 1206, 2545, 2544, 2912, 2912, 2546, 2912, 2912,
4414 2912, 2864, 2912, 2371, 2912, 2547, 2912, 2546, 2912, 2912,
4415 2468, 2372, 2613, 2912, 2548, 2912, 2912, 2912, 2863, 2912,
4416 2912, 2614, 2547, 2912, 2912, 2912, 2864, 2912, 2912, 2912,
4417 2547, 2865, 2912, 2822, 1617, 2823, 2822, 2613, 2548, 2912,
4418 2912, 2912, 2863, 2912, 2872, 2614, 2912, 2547, 2912, 2370,
4419 2822, 1617, 2823, 2822, 2912, 2865, 2868, 1701, 2869, 2870,
4420 2912, 2872, 2912, 2912, 2464, 2912, 2370, 2871, 2822, 1617,
4421 2823, 2824, 2370, 2465, 2912, 2912, 2912, 2912, 2912, 2825,
4422
4423 2912, 2464, 2912, 2912, 2370, 2912, 2912, 2371, 2912, 2464,
4424 2465, 2367, 1053, 2368, 2369, 2468, 2372, 2465, 2912, 2371,
4425 2912, 2912, 2554, 1217, 2555, 2554, 2464, 2370, 2372, 2912,
4426 2912, 2912, 2371, 2912, 2465, 2912, 2912, 2912, 2556, 2468,
4427 2372, 2912, 2371, 2912, 2371, 2554, 1217, 2555, 2554, 2874,
4428 2912, 2372, 2372, 2557, 2912, 2912, 2912, 2830, 1625, 2831,
4429 2830, 2556, 2558, 2912, 2912, 2912, 2912, 2371, 2526, 2912,
4430 2912, 2912, 2912, 2370, 2874, 2372, 2557, 2912, 2557, 2830,
4431 1625, 2831, 2830, 2912, 2912, 2875, 2558, 2912, 2464, 2912,
4432 2526, 2830, 1625, 2831, 2832, 2370, 2912, 2465, 2912, 2912,
4433
4434 2912, 2557, 2833, 2912, 2912, 2912, 2912, 2370, 2912, 2875,
4435 2464, 2912, 2912, 2464, 2367, 1053, 2368, 2369, 2912, 2465,
4436 2912, 2465, 2371, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4437 2370, 2372, 2912, 2912, 2912, 2464, 2912, 2835, 1630, 2836,
4438 2835, 2912, 2912, 2465, 2912, 2371, 2912, 2371, 2872, 2912,
4439 2912, 2912, 2912, 2364, 2372, 2372, 2835, 1630, 2836, 2835,
4440 2835, 1630, 2836, 2837, 2912, 2912, 2912, 2872, 2478, 2912,
4441 2371, 2838, 2364, 2912, 2912, 2912, 2364, 2479, 2372, 2876,
4442 1709, 2877, 2878, 2568, 1232, 2569, 2568, 2478, 2912, 2912,
4443 2879, 2376, 2912, 2478, 2912, 2364, 2479, 2912, 2912, 2570,
4444
4445 2377, 2479, 2912, 2912, 2912, 2568, 1232, 2569, 2568, 2912,
4446 2387, 2912, 2478, 2912, 2571, 2912, 2376, 2912, 2481, 2388,
4447 2479, 2570, 2912, 2883, 2377, 2841, 1636, 2842, 2841, 2912,
4448 2912, 2912, 2912, 2912, 2912, 2387, 2571, 2912, 2880, 2571,
4449 2912, 2570, 2481, 2388, 2912, 2572, 2912, 2883, 2841, 1636,
4450 2842, 2841, 2912, 2912, 2912, 2912, 2635, 2912, 2912, 2912,
4451 2912, 2571, 2881, 2880, 2570, 2636, 2912, 2912, 2912, 2572,
4452 2912, 2568, 1232, 2569, 2568, 2912, 2912, 2912, 2912, 2635,
4453 2912, 2635, 2912, 2912, 2912, 2881, 2881, 2570, 2636, 2636,
4454 2845, 1641, 2846, 2845, 2845, 1641, 2846, 2845, 2882, 2912,
4455
4456 2912, 2872, 2571, 2912, 2635, 2872, 2381, 2912, 2912, 2881,
4457 2381, 2572, 2636, 2849, 1645, 2850, 2849, 2849, 1645, 2850,
4458 2849, 2473, 2912, 2882, 2526, 2473, 2912, 2571, 2526, 2364,
4459 2474, 2912, 2912, 2364, 2474, 2572, 2849, 1645, 2850, 2851,
4460 2912, 2912, 2912, 2912, 2478, 2912, 2473, 2852, 2478, 2912,
4461 2473, 2912, 2364, 2479, 2474, 2912, 2912, 2479, 2474, 2855,
4462 1688, 2856, 2855, 2912, 2912, 2912, 2912, 2376, 2912, 2478,
4463 2526, 2912, 2912, 2478, 2912, 2370, 2377, 2479, 2912, 2912,
4464 2912, 2479, 2855, 1688, 2856, 2855, 2912, 2912, 2912, 2912,
4465 2464, 2912, 2376, 2526, 2855, 1688, 2856, 2857, 2370, 2465,
4466
4467 2377, 2885, 1760, 2886, 2887, 2833, 2912, 2912, 2912, 2912,
4468 2370, 2912, 2871, 2464, 2912, 2464, 2912, 2370, 2912, 2912,
4469 2912, 2912, 2465, 2465, 2912, 2371, 2912, 2912, 2859, 1692,
4470 2860, 2859, 2371, 2912, 2372, 2912, 2912, 2912, 2464, 2526,
4471 2468, 2372, 2912, 2912, 2381, 2912, 2465, 2912, 2912, 2912,
4472 2371, 2859, 1692, 2860, 2859, 2912, 2912, 2371, 2372, 2473,
4473 2912, 2912, 2526, 2912, 2468, 2372, 2912, 2381, 2474, 2544,
4474 1206, 2545, 2544, 2544, 1206, 2545, 2544, 2912, 2912, 2912,
4475 2912, 2912, 2473, 2912, 2473, 2546, 2912, 2912, 2912, 2546,
4476 2912, 2474, 2474, 2912, 2912, 2912, 2891, 2912, 2892, 2912,
4477
4478 2547, 2912, 2912, 2912, 2547, 2912, 2912, 2473, 2912, 2548,
4479 2912, 2912, 2912, 2548, 2912, 2474, 2778, 1544, 2779, 2778,
4480 2912, 2891, 2912, 2892, 2912, 2547, 2912, 2912, 2912, 2547,
4481 2912, 2912, 2546, 2548, 2912, 2912, 2912, 2548, 2868, 1701,
4482 2869, 2868, 2912, 2912, 2912, 2912, 2912, 2547, 2912, 2867,
4483 2912, 2912, 2912, 2780, 2370, 2912, 2548, 2912, 2912, 2868,
4484 1701, 2869, 2868, 2912, 2912, 2912, 2912, 2912, 2912, 2464,
4485 2867, 2912, 2547, 2912, 2912, 2370, 2912, 2780, 2465, 2912,
4486 2548, 2893, 1768, 2894, 2893, 2868, 1701, 2869, 2870, 2912,
4487 2464, 2912, 2895, 2912, 2464, 2912, 2871, 2546, 2912, 2465,
4488
4489 2912, 2370, 2465, 2367, 1053, 2368, 2369, 2912, 2912, 2912,
4490 2912, 2912, 2547, 2912, 2912, 2464, 2371, 2912, 2912, 2370,
4491 2617, 2548, 2912, 2465, 2912, 2372, 2788, 1555, 2789, 2788,
4492 2912, 2912, 2912, 2912, 2371, 2912, 2912, 2547, 2912, 2912,
4493 2912, 2371, 2556, 2372, 2617, 2548, 2912, 2912, 2912, 2372,
4494 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2557, 2912, 2371,
4495 2912, 2912, 2912, 2790, 2912, 2912, 2558, 2372, 2876, 1709,
4496 2877, 2876, 2876, 1709, 2877, 2876, 2912, 2912, 2912, 2867,
4497 2912, 2912, 2557, 2867, 2364, 2912, 2912, 2790, 2364, 2912,
4498 2558, 2896, 1772, 2897, 2896, 2876, 1709, 2877, 2878, 2478,
4499
4500 2912, 2912, 2898, 2478, 2912, 2912, 2879, 2556, 2479, 2912,
4501 2912, 2364, 2479, 2568, 1232, 2569, 2568, 2568, 1232, 2569,
4502 2568, 2912, 2557, 2912, 2478, 2912, 2376, 2912, 2478, 2570,
4503 2624, 2558, 2479, 2570, 2912, 2377, 2479, 2912, 2912, 2912,
4504 2899, 2912, 2900, 2912, 2571, 2912, 2912, 2557, 2571, 2912,
4505 2912, 2376, 2912, 2572, 2624, 2558, 2912, 2572, 2912, 2377,
4506 2800, 1568, 2801, 2800, 2912, 2899, 2912, 2900, 2912, 2571,
4507 2912, 2912, 2912, 2571, 2912, 2912, 2570, 2572, 2912, 2912,
4508 2912, 2572, 2885, 1760, 2886, 2885, 2912, 2912, 2912, 2912,
4509 2912, 2571, 2912, 2867, 2912, 2912, 2912, 2802, 2370, 2912,
4510
4511 2572, 2912, 2912, 2885, 1760, 2886, 2885, 2912, 2912, 2912,
4512 2912, 2912, 2912, 2464, 2867, 2912, 2571, 2912, 2912, 2370,
4513 2912, 2802, 2465, 2912, 2572, 2901, 1778, 2902, 2901, 2885,
4514 1760, 2886, 2887, 2912, 2464, 2912, 2903, 2912, 2464, 2912,
4515 2871, 2570, 2912, 2465, 2912, 2370, 2465, 2888, 1763, 2889,
4516 2888, 2888, 1763, 2889, 2890, 2912, 2571, 2912, 2867, 2464,
4517 2371, 2912, 2879, 2381, 2639, 2572, 2912, 2465, 2912, 2372,
4518 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2473, 2912,
4519 2912, 2571, 2447, 2912, 2912, 2371, 2912, 2474, 2639, 2572,
4520 2912, 2448, 2912, 2372, 2888, 1763, 2889, 2888, 2816, 1610,
4521
4522 2817, 2816, 2912, 2473, 2912, 2867, 2912, 2447, 2912, 2912,
4523 2381, 2474, 2912, 2912, 2546, 2448, 2544, 1206, 2545, 2544,
4524 2893, 1768, 2894, 2893, 2912, 2473, 2912, 2912, 2912, 2547,
4525 2912, 2895, 2546, 2912, 2474, 2780, 2546, 2912, 2548, 2912,
4526 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2547, 2912, 2912,
4527 2473, 2613, 2912, 2912, 2547, 2912, 2904, 2912, 2474, 2780,
4528 2614, 2912, 2548, 2893, 1768, 2894, 2893, 2544, 1206, 2545,
4529 2544, 2912, 2547, 2912, 2895, 2912, 2613, 2912, 2912, 2546,
4530 2904, 2912, 2912, 2546, 2614, 2896, 1772, 2897, 2896, 2896,
4531 1772, 2897, 2896, 2912, 2613, 2912, 2898, 2912, 2547, 2912,
4532
4533 2898, 2556, 2912, 2614, 2912, 2556, 2912, 2548, 2554, 1217,
4534 2555, 2554, 2568, 1232, 2569, 2568, 2557, 2912, 2912, 2613,
4535 2557, 2912, 2912, 2547, 2556, 2558, 2912, 2614, 2570, 2558,
4536 2912, 2548, 2912, 2912, 2841, 1636, 2842, 2841, 2912, 2557,
4537 2912, 2557, 2912, 2571, 2912, 2557, 2912, 2912, 2558, 2558,
4538 2570, 2912, 2905, 2558, 2568, 1232, 2569, 2568, 2912, 2912,
4539 2912, 2912, 2912, 2912, 2557, 2571, 2912, 2912, 2571, 2912,
4540 2570, 2802, 2558, 2912, 2572, 2912, 2905, 2901, 1778, 2902,
4541 2901, 2901, 1778, 2902, 2901, 2571, 2912, 2912, 2903, 2912,
4542 2571, 2912, 2903, 2570, 2572, 2802, 2912, 2570, 2572, 2912,
4543
4544 2906, 1931, 2907, 2906, 2906, 1931, 2907, 2906, 2635, 2912,
4545 2571, 2908, 2635, 2912, 2912, 2908, 2546, 2636, 2572, 2912,
4546 2546, 2636, 2912, 2544, 1206, 2545, 2544, 2909, 1935, 2910,
4547 2909, 2613, 2912, 2635, 2912, 2613, 2912, 2635, 2911, 2546,
4548 2614, 2636, 2912, 2570, 2614, 2636, 2906, 1931, 2907, 2906,
4549 2912, 2912, 2912, 2912, 2547, 2912, 2613, 2908, 2635, 2912,
4550 2613, 2912, 2546, 2548, 2614, 2912, 2912, 2636, 2614, 2912,
4551 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2547, 2912, 2547,
4552 2912, 2912, 2912, 2635, 2912, 2617, 2548, 2548, 2912, 2912,
4553 2912, 2636, 2909, 1935, 2910, 2909, 2912, 2912, 2912, 2912,
4554
4555 2912, 2912, 2547, 2911, 2568, 1232, 2569, 2568, 2570, 2617,
4556 2548, 2909, 1935, 2910, 2909, 2912, 2912, 2912, 2912, 2912,
4557 2570, 2912, 2911, 2635, 2912, 2912, 2912, 2570, 2912, 2912,
4558 2912, 2912, 2636, 2912, 2912, 2571, 2912, 2912, 2912, 2912,
4559 2912, 2912, 2571, 2912, 2572, 2912, 2912, 2912, 2635, 2912,
4560 2639, 2572, 2912, 2912, 2912, 2912, 2636, 2912, 2912, 2912,
4561 2571, 2912, 2912, 2912, 2912, 2912, 2912, 2571, 2572, 2912,
4562 2912, 2912, 2912, 2912, 2639, 2572, 28, 28, 28, 28,
4563 28, 28, 28, 28, 28, 28, 28, 28, 28, 28,
4564 28, 28, 28, 28, 28, 28, 28, 28, 100, 100,
4565
4566 100, 100, 100, 100, 100, 100, 100, 100, 100, 100,
4567 100, 100, 100, 100, 100, 100, 100, 100, 100, 100,
4568 113, 113, 113, 113, 113, 113, 113, 113, 113, 113,
4569 113, 113, 113, 113, 113, 113, 113, 113, 113, 113,
4570 113, 113, 117, 117, 117, 117, 117, 117, 117, 117,
4571 117, 117, 117, 117, 117, 117, 117, 117, 117, 117,
4572 117, 117, 117, 117, 97, 97, 97, 97, 97, 97,
4573 97, 97, 97, 97, 97, 97, 97, 97, 97, 97,
4574 97, 97, 97, 97, 97, 97, 123, 123, 123, 123,
4575 123, 123, 123, 123, 123, 123, 123, 123, 123, 123,
4576
4577 123, 123, 123, 123, 123, 123, 123, 123, 124, 124,
4578 124, 124, 124, 124, 124, 124, 124, 124, 124, 124,
4579 124, 124, 124, 124, 124, 124, 124, 124, 124, 124,
4580 141, 141, 141, 141, 141, 2912, 2912, 2912, 2912, 2912,
4581 2912, 2912, 141, 141, 141, 2912, 2912, 141, 141, 141,
4582 141, 139, 139, 139, 139, 139, 2912, 2912, 2912, 2912,
4583 2912, 2912, 2912, 139, 2912, 2912, 2912, 2912, 139, 139,
4584 139, 139, 156, 156, 156, 156, 156, 156, 156, 156,
4585 156, 156, 156, 156, 156, 156, 156, 156, 156, 156,
4586 156, 156, 156, 156, 159, 159, 159, 159, 140, 140,
4587
4588 140, 140, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4589 2912, 140, 140, 140, 2912, 140, 140, 140, 140, 175,
4590 175, 175, 175, 175, 2912, 2912, 2912, 2912, 175, 175,
4591 2912, 175, 175, 175, 175, 2912, 175, 175, 175, 175,
4592 234, 234, 2912, 234, 234, 234, 234, 234, 234, 234,
4593 234, 234, 234, 234, 234, 234, 234, 234, 234, 234,
4594 234, 234, 236, 2912, 2912, 236, 2912, 2912, 236, 2912,
4595 2912, 236, 236, 236, 2912, 2912, 2912, 236, 236, 2912,
4596 236, 238, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4597 2912, 238, 2912, 2912, 238, 238, 238, 238, 245, 2912,
4598
4599 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 245,
4600 2912, 2912, 245, 245, 245, 245, 247, 2912, 2912, 247,
4601 2912, 2912, 247, 2912, 2912, 247, 247, 247, 247, 2912,
4602 2912, 247, 247, 2912, 2912, 247, 251, 251, 2912, 251,
4603 251, 251, 251, 251, 251, 251, 251, 251, 251, 251,
4604 251, 251, 251, 251, 251, 251, 251, 251, 254, 2912,
4605 2912, 2912, 2912, 254, 2912, 254, 254, 254, 2912, 2912,
4606 254, 254, 256, 2912, 2912, 256, 256, 256, 256, 259,
4607 259, 259, 259, 259, 259, 259, 259, 259, 259, 259,
4608 259, 259, 259, 259, 259, 2912, 2912, 259, 259, 259,
4609
4610 259, 265, 265, 265, 265, 265, 265, 265, 265, 2912,
4611 2912, 265, 265, 265, 265, 265, 265, 265, 265, 265,
4612 265, 265, 265, 271, 2912, 2912, 2912, 2912, 271, 271,
4613 2912, 271, 2912, 271, 2912, 2912, 271, 271, 271, 271,
4614 272, 272, 2912, 272, 272, 272, 272, 272, 2912, 2912,
4615 272, 272, 2912, 2912, 272, 272, 272, 272, 272, 272,
4616 272, 272, 275, 275, 2912, 275, 275, 275, 275, 275,
4617 275, 275, 275, 275, 275, 275, 275, 275, 275, 275,
4618 275, 275, 275, 275, 139, 139, 139, 139, 139, 2912,
4619 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4620
4621 2912, 139, 139, 139, 139, 174, 174, 174, 174, 174,
4622 2912, 2912, 2912, 2912, 174, 174, 2912, 174, 2912, 174,
4623 174, 2912, 174, 174, 174, 174, 280, 280, 280, 280,
4624 280, 2912, 2912, 2912, 2912, 280, 280, 2912, 280, 280,
4625 280, 280, 2912, 280, 280, 280, 280, 291, 291, 291,
4626 291, 2912, 2912, 2912, 291, 291, 2912, 2912, 2912, 2912,
4627 291, 291, 2912, 2912, 291, 291, 291, 291, 152, 152,
4628 2912, 152, 152, 152, 152, 152, 152, 152, 152, 152,
4629 152, 152, 152, 152, 152, 152, 152, 152, 152, 152,
4630 156, 156, 156, 156, 156, 156, 156, 156, 156, 156,
4631
4632 156, 156, 156, 156, 156, 156, 156, 156, 156, 156,
4633 156, 156, 299, 2912, 2912, 299, 299, 299, 299, 166,
4634 2912, 2912, 2912, 2912, 166, 2912, 166, 166, 166, 2912,
4635 2912, 166, 166, 175, 175, 175, 175, 175, 2912, 2912,
4636 2912, 2912, 175, 175, 2912, 175, 175, 175, 175, 2912,
4637 175, 175, 175, 175, 236, 2912, 2912, 236, 2912, 2912,
4638 236, 2912, 2912, 236, 236, 236, 2912, 2912, 2912, 236,
4639 236, 2912, 236, 232, 232, 2912, 232, 232, 232, 232,
4640 232, 232, 232, 232, 232, 232, 232, 232, 232, 232,
4641 232, 232, 232, 232, 232, 238, 2912, 2912, 2912, 2912,
4642
4643 2912, 2912, 2912, 2912, 2912, 238, 2912, 2912, 238, 238,
4644 238, 238, 234, 234, 2912, 234, 234, 234, 234, 234,
4645 234, 234, 234, 234, 234, 234, 234, 234, 234, 234,
4646 234, 234, 234, 234, 358, 358, 2912, 358, 358, 358,
4647 358, 358, 358, 358, 358, 358, 358, 358, 358, 358,
4648 358, 358, 358, 358, 358, 358, 245, 2912, 2912, 2912,
4649 2912, 2912, 2912, 2912, 2912, 2912, 2912, 245, 2912, 2912,
4650 245, 245, 245, 245, 247, 2912, 2912, 247, 2912, 2912,
4651 247, 2912, 2912, 247, 247, 247, 247, 2912, 2912, 247,
4652 247, 2912, 2912, 247, 251, 251, 2912, 251, 251, 251,
4653
4654 251, 251, 251, 251, 251, 251, 251, 251, 251, 251,
4655 251, 251, 251, 251, 251, 251, 254, 2912, 2912, 2912,
4656 2912, 254, 2912, 254, 254, 254, 2912, 2912, 254, 254,
4657 256, 2912, 2912, 256, 256, 256, 256, 259, 259, 259,
4658 259, 259, 259, 259, 259, 259, 259, 259, 259, 259,
4659 259, 259, 259, 2912, 2912, 259, 259, 259, 259, 371,
4660 371, 371, 371, 371, 371, 371, 371, 371, 371, 371,
4661 371, 371, 371, 371, 371, 371, 371, 371, 371, 371,
4662 371, 265, 265, 265, 265, 265, 265, 265, 265, 2912,
4663 2912, 265, 265, 265, 265, 265, 265, 265, 265, 265,
4664
4665 265, 265, 265, 373, 373, 373, 373, 373, 373, 373,
4666 373, 373, 373, 373, 373, 373, 373, 373, 373, 373,
4667 373, 373, 373, 373, 373, 271, 2912, 2912, 2912, 2912,
4668 271, 271, 2912, 271, 2912, 271, 2912, 2912, 271, 271,
4669 271, 271, 272, 272, 2912, 272, 272, 272, 272, 272,
4670 2912, 2912, 272, 272, 2912, 2912, 272, 272, 272, 272,
4671 272, 272, 272, 272, 374, 374, 2912, 374, 374, 374,
4672 374, 374, 374, 374, 374, 374, 374, 374, 374, 374,
4673 374, 374, 374, 374, 374, 374, 275, 275, 2912, 275,
4674 275, 275, 275, 275, 275, 275, 275, 275, 275, 275,
4675
4676 275, 275, 275, 275, 275, 275, 275, 275, 174, 174,
4677 174, 174, 174, 2912, 2912, 2912, 2912, 174, 174, 2912,
4678 174, 2912, 174, 174, 2912, 174, 174, 174, 174, 291,
4679 291, 291, 291, 2912, 2912, 2912, 291, 291, 2912, 2912,
4680 2912, 2912, 291, 291, 2912, 2912, 291, 291, 291, 291,
4681 290, 290, 290, 290, 2912, 2912, 2912, 290, 290, 2912,
4682 2912, 2912, 2912, 290, 290, 2912, 2912, 290, 290, 290,
4683 290, 152, 152, 152, 152, 152, 152, 152, 152, 152,
4684 152, 152, 152, 152, 152, 152, 152, 152, 152, 152,
4685 152, 152, 152, 156, 156, 156, 156, 156, 156, 156,
4686
4687 156, 156, 156, 156, 156, 156, 156, 156, 156, 156,
4688 156, 156, 156, 156, 156, 299, 2912, 2912, 299, 299,
4689 299, 299, 140, 140, 140, 140, 2912, 2912, 2912, 2912,
4690 2912, 2912, 2912, 2912, 2912, 140, 140, 140, 2912, 140,
4691 140, 140, 140, 166, 2912, 2912, 2912, 2912, 166, 2912,
4692 166, 166, 166, 2912, 2912, 166, 166, 175, 175, 175,
4693 175, 175, 2912, 2912, 2912, 2912, 175, 175, 2912, 175,
4694 175, 175, 175, 2912, 175, 175, 175, 175, 234, 234,
4695 2912, 234, 234, 234, 234, 234, 234, 234, 234, 234,
4696 234, 234, 234, 234, 234, 234, 234, 234, 234, 234,
4697
4698 358, 358, 2912, 358, 358, 358, 358, 358, 358, 358,
4699 358, 358, 358, 358, 358, 358, 358, 358, 358, 358,
4700 358, 358, 238, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4701 2912, 2912, 238, 2912, 2912, 238, 238, 238, 238, 469,
4702 469, 469, 469, 469, 469, 469, 469, 469, 469, 469,
4703 469, 469, 469, 469, 469, 469, 469, 469, 469, 469,
4704 469, 470, 470, 470, 470, 470, 470, 470, 470, 470,
4705 470, 470, 470, 470, 470, 470, 470, 470, 470, 470,
4706 470, 470, 470, 588, 588, 588, 588, 588, 588, 588,
4707 588, 588, 588, 588, 588, 588, 588, 588, 588, 588,
4708
4709 588, 588, 588, 588, 588, 597, 597, 597, 597, 597,
4710 597, 597, 597, 597, 597, 597, 597, 597, 597, 597,
4711 597, 597, 597, 597, 597, 597, 597, 653, 653, 653,
4712 653, 653, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4713 2912, 2912, 2912, 653, 653, 653, 653, 653, 659, 659,
4714 659, 659, 659, 659, 659, 659, 659, 659, 659, 659,
4715 659, 659, 659, 659, 659, 659, 659, 659, 659, 659,
4716 232, 232, 2912, 232, 232, 232, 232, 232, 232, 232,
4717 232, 232, 232, 232, 232, 232, 232, 232, 232, 232,
4718 232, 232, 743, 743, 743, 743, 743, 2912, 2912, 2912,
4719
4720 2912, 743, 743, 2912, 743, 2912, 743, 2912, 743, 743,
4721 743, 743, 743, 753, 753, 753, 753, 753, 753, 753,
4722 753, 753, 753, 753, 753, 753, 753, 753, 753, 753,
4723 753, 753, 753, 753, 753, 903, 903, 903, 903, 903,
4724 2912, 2912, 2912, 2912, 903, 903, 2912, 903, 903, 903,
4725 903, 2912, 903, 903, 903, 903, 958, 958, 958, 958,
4726 2912, 2912, 2912, 958, 958, 2912, 2912, 2912, 958, 958,
4727 958, 2912, 2912, 958, 958, 958, 958, 963, 963, 963,
4728 963, 2912, 2912, 2912, 963, 963, 2912, 2912, 2912, 963,
4729 963, 963, 2912, 2912, 963, 963, 963, 963, 986, 986,
4730
4731 986, 986, 986, 2912, 2912, 2912, 2912, 986, 986, 2912,
4732 986, 986, 986, 986, 2912, 986, 986, 986, 986, 991,
4733 991, 991, 991, 991, 2912, 2912, 2912, 2912, 2912, 2912,
4734 2912, 2912, 2912, 2912, 991, 2912, 991, 991, 991, 991,
4735 992, 992, 992, 992, 992, 2912, 2912, 2912, 2912, 2912,
4736 2912, 2912, 2912, 992, 992, 992, 2912, 992, 992, 992,
4737 992, 994, 994, 994, 994, 994, 2912, 2912, 994, 2912,
4738 994, 994, 2912, 994, 2912, 994, 994, 2912, 994, 994,
4739 994, 994, 998, 998, 998, 998, 998, 2912, 2912, 998,
4740 2912, 998, 998, 2912, 998, 998, 998, 998, 2912, 998,
4741
4742 998, 998, 998, 962, 962, 962, 962, 2912, 2912, 2912,
4743 962, 962, 2912, 2912, 2912, 962, 962, 962, 2912, 2912,
4744 962, 962, 962, 962, 1083, 1083, 1083, 1083, 1083, 2912,
4745 2912, 1083, 2912, 1083, 1083, 2912, 1083, 1083, 1083, 1083,
4746 2912, 1083, 1083, 1083, 1083, 1085, 1085, 1085, 1085, 1085,
4747 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4748 1085, 2912, 1085, 1085, 1085, 1085, 1086, 1086, 1086, 1086,
4749 1086, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 1086,
4750 1086, 1086, 2912, 1086, 1086, 1086, 1086, 1088, 1088, 1088,
4751 1088, 1088, 2912, 2912, 1088, 2912, 1088, 1088, 2912, 1088,
4752
4753 2912, 1088, 2912, 2912, 1088, 1088, 1088, 1088, 1091, 1091,
4754 1091, 1091, 1091, 2912, 2912, 1091, 2912, 1091, 1091, 2912,
4755 1091, 1091, 1091, 1091, 2912, 1091, 1091, 1091, 1091, 1096,
4756 1096, 2912, 1096, 1096, 1096, 1096, 1096, 1096, 1096, 1096,
4757 1096, 1096, 1096, 1096, 1096, 1096, 1096, 1096, 1096, 1096,
4758 1096, 1101, 1101, 1101, 1101, 1101, 1101, 1101, 1101, 1101,
4759 1101, 1101, 1101, 1101, 1101, 1101, 1101, 1101, 1101, 1101,
4760 1101, 1101, 1101, 588, 588, 588, 588, 588, 588, 588,
4761 588, 588, 588, 588, 588, 588, 588, 588, 588, 588,
4762 588, 588, 588, 588, 588, 597, 597, 597, 597, 597,
4763
4764 597, 597, 597, 597, 597, 597, 597, 597, 597, 597,
4765 597, 597, 597, 597, 597, 597, 597, 1157, 2912, 2912,
4766 2912, 2912, 1157, 1157, 2912, 1157, 2912, 1157, 2912, 2912,
4767 1157, 1157, 1157, 1157, 1158, 1158, 1158, 1158, 1158, 2912,
4768 2912, 2912, 2912, 1158, 1158, 2912, 1158, 1158, 1158, 1158,
4769 2912, 1158, 1158, 1158, 1158, 1204, 1204, 1204, 1204, 1204,
4770 1204, 1204, 1204, 1204, 1204, 1204, 1204, 1204, 1204, 1204,
4771 1204, 1204, 1204, 1204, 1204, 1204, 1204, 1215, 1215, 1215,
4772 1215, 1215, 1215, 1215, 1215, 1215, 1215, 1215, 1215, 1215,
4773 1215, 1215, 1215, 1215, 1215, 1215, 1215, 1215, 1215, 1230,
4774
4775 1230, 1230, 1230, 1230, 1230, 1230, 1230, 1230, 1230, 1230,
4776 1230, 1230, 1230, 1230, 1230, 1230, 1230, 1230, 1230, 1230,
4777 1230, 280, 280, 280, 280, 280, 2912, 2912, 2912, 2912,
4778 280, 280, 2912, 280, 280, 280, 280, 2912, 280, 280,
4779 280, 280, 1252, 1252, 1252, 1252, 1252, 2912, 2912, 2912,
4780 2912, 2912, 2912, 2912, 2912, 1252, 1252, 1252, 2912, 1252,
4781 1252, 1252, 1252, 1168, 1168, 1168, 1168, 1168, 1168, 1168,
4782 1168, 1168, 1168, 1168, 1168, 1168, 1168, 1168, 1168, 1168,
4783 1168, 1168, 1168, 1168, 1168, 1327, 1327, 1327, 1327, 1327,
4784 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4785
4786 1327, 2912, 1327, 1327, 1327, 1327, 1328, 1328, 1328, 1328,
4787 1328, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 1328,
4788 1328, 1328, 2912, 1328, 1328, 1328, 1328, 1251, 1251, 1251,
4789 1251, 1251, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4790 2912, 2912, 2912, 2912, 1251, 1251, 1251, 1251, 1330, 2912,
4791 2912, 2912, 2912, 1330, 1330, 2912, 1330, 2912, 1330, 2912,
4792 2912, 1330, 1330, 1330, 1330, 1331, 1331, 1331, 1331, 1331,
4793 2912, 2912, 2912, 2912, 1331, 1331, 2912, 1331, 1331, 1331,
4794 1331, 2912, 1331, 1331, 1331, 1331, 1096, 1096, 2912, 1096,
4795 1096, 1096, 1096, 1096, 1096, 1096, 1096, 1096, 1096, 1096,
4796
4797 1096, 1096, 1096, 1096, 1096, 1096, 1096, 1096, 1101, 1101,
4798 1101, 1101, 1101, 1101, 1101, 1101, 1101, 1101, 1101, 1101,
4799 1101, 1101, 1101, 1101, 1101, 1101, 1101, 1101, 1101, 1101,
4800 1359, 1359, 1359, 1359, 1359, 2912, 2912, 2912, 2912, 2912,
4801 2912, 2912, 2912, 2912, 2912, 2912, 2912, 1359, 1359, 1359,
4802 1359, 1426, 1426, 1426, 1426, 1426, 2912, 2912, 2912, 2912,
4803 1426, 1426, 2912, 1426, 2912, 1426, 2912, 2912, 1426, 1426,
4804 1426, 1426, 1429, 1429, 1429, 1429, 1429, 2912, 2912, 2912,
4805 2912, 1429, 1429, 2912, 1429, 1429, 1429, 1429, 2912, 1429,
4806 1429, 1429, 1429, 1439, 1439, 1439, 1439, 1439, 1439, 1439,
4807
4808 1439, 1439, 1439, 1439, 1439, 1439, 1439, 1439, 1439, 1439,
4809 1439, 1439, 1439, 1439, 1439, 1447, 1447, 1447, 1447, 1447,
4810 1447, 1447, 1447, 1447, 1447, 1447, 1447, 1447, 1447, 1447,
4811 1447, 1447, 1447, 1447, 1447, 1447, 1447, 1458, 1458, 1458,
4812 1458, 1458, 2912, 2912, 2912, 2912, 1458, 1458, 2912, 1458,
4813 2912, 1458, 2912, 1458, 1458, 1458, 1458, 1458, 1651, 1651,
4814 2912, 1651, 1651, 1651, 1651, 1651, 1651, 1651, 1651, 1651,
4815 1651, 1651, 1651, 1651, 1651, 1651, 1651, 1651, 1651, 1651,
4816 1654, 1654, 1654, 1654, 1654, 1654, 1654, 1654, 1654, 1654,
4817 1654, 1654, 1654, 1654, 1654, 1654, 1654, 1654, 1654, 1654,
4818
4819 1654, 1654, 1716, 1716, 1716, 1716, 1716, 2912, 2912, 2912,
4820 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 1716,
4821 1716, 1716, 1716, 1717, 1717, 1717, 1717, 1717, 2912, 2912,
4822 2912, 2912, 2912, 2912, 2912, 2912, 1717, 1717, 1717, 2912,
4823 1717, 1717, 1717, 1717, 1749, 1749, 1749, 1749, 1749, 1749,
4824 1749, 1749, 1749, 1749, 1749, 1749, 1749, 1749, 1749, 1749,
4825 1749, 1749, 1749, 1749, 1749, 1749, 1781, 2912, 2912, 2912,
4826 2912, 1781, 1781, 2912, 1781, 2912, 1781, 2912, 2912, 1781,
4827 1781, 1781, 1781, 1782, 1782, 1782, 1782, 1782, 2912, 2912,
4828 2912, 2912, 1782, 1782, 2912, 1782, 1782, 1782, 1782, 2912,
4829
4830 1782, 1782, 1782, 1782, 1721, 1721, 1721, 1721, 1721, 1721,
4831 1721, 1721, 1721, 1721, 1721, 1721, 1721, 1721, 1721, 1721,
4832 1721, 1721, 1721, 1721, 1721, 1721, 1753, 1753, 1753, 1753,
4833 1753, 1753, 1753, 1753, 1753, 1753, 1753, 1753, 1753, 1753,
4834 1753, 1753, 1753, 1753, 1753, 1753, 1753, 1753, 1651, 1651,
4835 2912, 1651, 1651, 1651, 1651, 1651, 1651, 1651, 1651, 1651,
4836 1651, 1651, 1651, 1651, 1651, 1651, 1651, 1651, 1651, 1651,
4837 1945, 1945, 1945, 1945, 1945, 1945, 1945, 1945, 1945, 1945,
4838 1945, 1945, 1945, 1945, 1945, 1945, 1945, 1945, 1945, 1945,
4839 1945, 1945, 1953, 1953, 1953, 1953, 1953, 1953, 1953, 1953,
4840
4841 1953, 1953, 1953, 1953, 1953, 1953, 1953, 1953, 1953, 1953,
4842 1953, 1953, 1953, 1953, 1989, 1989, 1989, 1989, 1989, 1989,
4843 1989, 1989, 1989, 1989, 1989, 1989, 1989, 1989, 1989, 1989,
4844 1989, 1989, 1989, 1989, 1989, 1989, 1999, 1999, 1999, 1999,
4845 1999, 1999, 1999, 1999, 1999, 1999, 1999, 1999, 1999, 1999,
4846 1999, 1999, 1999, 1999, 1999, 1999, 1999, 1999, 2013, 2013,
4847 2013, 2013, 2013, 2013, 2013, 2013, 2013, 2013, 2013, 2013,
4848 2013, 2013, 2013, 2013, 2013, 2013, 2013, 2013, 2013, 2013,
4849 2282, 2282, 2282, 2282, 2282, 2282, 2282, 2282, 2282, 2282,
4850 2282, 2282, 2282, 2282, 2282, 2282, 2282, 2282, 2282, 2282,
4851
4852 2282, 2282, 2286, 2286, 2286, 2286, 2286, 2286, 2286, 2286,
4853 2286, 2286, 2286, 2286, 2286, 2286, 2286, 2286, 2286, 2286,
4854 2286, 2286, 2286, 2286, 2543, 2543, 2543, 2543, 2543, 2543,
4855 2543, 2543, 2543, 2543, 2543, 2543, 2543, 2543, 2543, 2543,
4856 2543, 2543, 2543, 2543, 2543, 2543, 2553, 2553, 2553, 2553,
4857 2553, 2553, 2553, 2553, 2553, 2553, 2553, 2553, 2553, 2553,
4858 2553, 2553, 2553, 2553, 2553, 2553, 2553, 2553, 2567, 2567,
4859 2567, 2567, 2567, 2567, 2567, 2567, 2567, 2567, 2567, 2567,
4860 2567, 2567, 2567, 2567, 2567, 2567, 2567, 2567, 2567, 2567,
4861 27, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4862
4863 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4864 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4865 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4866 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4867 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4868 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
4869 2912, 2912, 2912, 2912, 2912, 2912
4870 } ;
4871
4872static yyconst flex_int16_t yy_chk[31167] =
4873 { 0,
4874 0, 1, 1, 270, 1, 2, 2, 109, 2, 360,
4875 360, 360, 360, 270, 270, 0, 0, 1, 2381, 2370,
4876 109, 2, 3, 3, 3, 3, 3, 3, 3, 3,
4877 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
4878 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
4879 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
4880 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
4881 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
4882 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
4883 3, 3, 3, 3, 3, 3, 3, 4, 168, 6,
4884
4885 4, 926, 6, 15, 15, 29, 15, 32, 29, 926,
4886 2364, 168, 39, 30, 11, 11, 30, 11, 1847, 15,
4887 72, 29, 4, 4, 4, 6, 4, 4, 82, 30,
4888 11, 32, 42, 4, 11, 11, 39, 4, 68, 4,
4889 4, 4, 4, 13, 13, 72, 13, 4, 4, 4,
4890 6, 4, 4, 82, 13, 13, 42, 4, 1836, 13,
4891 1830, 4, 68, 4, 4, 4, 4, 5, 5, 5,
4892 5, 5, 5, 5, 5, 5, 5, 5, 5, 5,
4893 5, 5, 5, 5, 5, 5, 5, 5, 5, 5,
4894 5, 5, 5, 5, 5, 5, 5, 5, 5, 5,
4895
4896 5, 5, 5, 5, 5, 5, 5, 5, 5, 5,
4897 5, 5, 5, 5, 5, 5, 5, 5, 5, 5,
4898 5, 5, 5, 5, 5, 5, 5, 5, 5, 5,
4899 5, 5, 5, 5, 5, 5, 5, 5, 5, 5,
4900 5, 5, 7, 7, 7, 7, 7, 7, 7, 7,
4901 7, 7, 7, 7, 7, 7, 7, 7, 7, 7,
4902 7, 7, 7, 7, 7, 7, 7, 7, 12, 12,
4903 37, 12, 1793, 1789, 14, 14, 93, 14, 16, 16,
4904 57, 16, 57, 112, 12, 14, 14, 37, 12, 12,
4905 14, 7, 17, 17, 16, 17, 18, 18, 85, 18,
4906
4907 93, 85, 1356, 1267, 57, 1263, 57, 112, 17, 1066,
4908 1055, 37, 18, 1049, 85, 7, 7, 8, 8, 8,
4909 8, 8, 8, 8, 8, 8, 8, 8, 8, 8,
4910 8, 8, 8, 8, 8, 8, 8, 8, 8, 8,
4911 8, 8, 8, 19, 19, 90, 19, 20, 20, 87,
4912 20, 64, 88, 23, 23, 89, 23, 968, 90, 19,
4913 64, 968, 91, 20, 23, 23, 8, 968, 23, 23,
4914 24, 24, 98, 24, 87, 98, 64, 88, 1014, 666,
4915 89, 24, 24, 90, 64, 24, 24, 91, 98, 568,
4916 8, 8, 9, 9, 9, 9, 9, 9, 9, 9,
4917
4918 9, 9, 9, 9, 9, 9, 9, 9, 9, 9,
4919 9, 9, 9, 9, 9, 9, 9, 9, 9, 9,
4920 9, 9, 9, 9, 9, 9, 9, 9, 9, 9,
4921 9, 9, 9, 9, 9, 9, 9, 9, 9, 9,
4922 9, 9, 9, 9, 9, 9, 9, 9, 9, 9,
4923 9, 9, 9, 9, 9, 9, 9, 9, 9, 9,
4924 9, 9, 9, 9, 9, 9, 9, 21, 21, 21,
4925 21, 21, 21, 563, 21, 21, 21, 21, 21, 21,
4926 21, 21, 21, 21, 21, 21, 21, 21, 21, 21,
4927 21, 21, 21, 25, 25, 40, 25, 26, 26, 55,
4928
4929 26, 56, 60, 56, 60, 79, 56, 94, 96, 25,
4930 56, 79, 40, 26, 393, 55, 21, 41, 70, 70,
4931 70, 70, 389, 367, 55, 56, 60, 56, 60, 79,
4932 56, 41, 94, 96, 56, 79, 40, 366, 70, 55,
4933 21, 21, 22, 22, 22, 22, 22, 22, 41, 22,
4934 22, 22, 22, 22, 22, 22, 22, 22, 22, 22,
4935 22, 22, 22, 22, 22, 22, 22, 22, 34, 34,
4936 34, 34, 41, 35, 35, 35, 35, 303, 302, 45,
4937 43, 269, 255, 267, 34, 44, 45, 111, 263, 35,
4938 47, 22, 261, 102, 49, 255, 102, 43, 114, 34,
4939
4940 181, 114, 44, 45, 35, 50, 50, 47, 34, 102,
4941 254, 49, 111, 35, 114, 22, 22, 33, 33, 33,
4942 33, 43, 33, 50, 34, 181, 44, 45, 51, 35,
4943 253, 47, 34, 33, 33, 49, 33, 35, 251, 46,
4944 46, 46, 46, 248, 62, 51, 62, 50, 33, 52,
4945 52, 135, 58, 61, 65, 63, 232, 33, 115, 46,
4946 118, 115, 58, 118, 58, 61, 52, 63, 62, 51,
4947 62, 65, 185, 33, 115, 135, 118, 58, 61, 46,
4948 63, 33, 36, 36, 36, 36, 58, 36, 58, 61,
4949 52, 63, 66, 66, 67, 65, 59, 185, 36, 36,
4950
4951 186, 36, 36, 46, 59, 80, 80, 59, 59, 138,
4952 71, 67, 71, 36, 171, 95, 66, 66, 95, 170,
4953 147, 59, 36, 119, 125, 186, 119, 125, 59, 80,
4954 80, 59, 59, 138, 71, 67, 71, 166, 36, 119,
4955 125, 95, 165, 67, 147, 152, 36, 38, 38, 38,
4956 38, 38, 38, 38, 38, 38, 38, 38, 38, 38,
4957 38, 73, 81, 38, 187, 38, 95, 126, 38, 38,
4958 126, 74, 133, 74, 149, 73, 74, 73, 81, 75,
4959 74, 75, 160, 126, 75, 76, 73, 81, 38, 187,
4960 131, 76, 223, 76, 178, 74, 38, 74, 149, 73,
4961
4962 74, 73, 81, 75, 74, 75, 160, 178, 75, 122,
4963 76, 130, 38, 116, 130, 76, 223, 76, 77, 178,
4964 38, 38, 48, 48, 48, 48, 108, 130, 48, 78,
4965 132, 178, 77, 132, 77, 104, 48, 78, 48, 48,
4966 78, 48, 48, 77, 229, 105, 132, 48, 48, 48,
4967 48, 48, 48, 99, 78, 31, 77, 179, 77, 197,
4968 105, 78, 48, 27, 78, 0, 221, 0, 229, 105,
4969 179, 48, 48, 48, 48, 48, 48, 48, 136, 136,
4970 136, 136, 179, 224, 197, 105, 48, 53, 53, 53,
4971 53, 221, 53, 105, 179, 0, 0, 0, 136, 215,
4972
4973 53, 53, 215, 53, 53, 53, 189, 53, 224, 53,
4974 53, 53, 54, 54, 54, 54, 227, 54, 136, 137,
4975 137, 137, 137, 189, 215, 54, 54, 215, 54, 54,
4976 54, 189, 54, 372, 54, 54, 54, 139, 139, 139,
4977 139, 227, 136, 372, 372, 101, 0, 189, 101, 239,
4978 137, 0, 0, 54, 0, 103, 0, 139, 103, 137,
4979 241, 101, 140, 140, 140, 140, 0, 153, 153, 153,
4980 153, 103, 153, 239, 184, 137, 101, 54, 69, 69,
4981 69, 69, 140, 137, 241, 101, 103, 153, 184, 250,
4982 143, 180, 180, 0, 0, 103, 0, 0, 69, 184,
4983
4984 143, 101, 143, 69, 69, 69, 192, 69, 69, 101,
4985 192, 103, 184, 250, 69, 143, 180, 180, 69, 103,
4986 69, 69, 69, 69, 143, 0, 143, 0, 69, 69,
4987 69, 192, 69, 69, 0, 192, 0, 0, 69, 0,
4988 0, 0, 69, 0, 69, 69, 69, 69, 83, 83,
4989 83, 83, 83, 83, 83, 83, 83, 83, 83, 83,
4990 83, 83, 83, 83, 83, 83, 83, 83, 83, 83,
4991 83, 83, 83, 83, 154, 154, 154, 154, 83, 155,
4992 155, 155, 155, 257, 144, 144, 144, 144, 145, 145,
4993 145, 145, 258, 182, 154, 183, 228, 83, 83, 155,
4994
4995 144, 0, 201, 83, 183, 182, 201, 257, 145, 228,
4996 146, 146, 146, 146, 0, 144, 258, 145, 182, 0,
4997 183, 83, 83, 84, 144, 188, 84, 201, 183, 182,
4998 146, 201, 84, 84, 228, 188, 84, 84, 84, 84,
4999 144, 84, 145, 84, 84, 84, 84, 84, 144, 146,
5000 188, 146, 84, 0, 162, 162, 162, 162, 373, 188,
5001 163, 163, 163, 163, 462, 462, 462, 462, 373, 373,
5002 0, 0, 0, 146, 162, 146, 0, 84, 86, 86,
5003 163, 86, 86, 86, 86, 86, 86, 86, 86, 86,
5004 86, 86, 86, 86, 86, 86, 86, 86, 200, 86,
5005
5006 86, 86, 86, 86, 148, 148, 148, 148, 86, 150,
5007 150, 150, 150, 200, 172, 172, 172, 172, 236, 0,
5008 150, 150, 0, 200, 148, 0, 0, 86, 0, 173,
5009 173, 173, 173, 86, 172, 148, 150, 200, 150, 174,
5010 174, 174, 174, 236, 148, 157, 157, 157, 157, 173,
5011 157, 86, 86, 141, 141, 141, 141, 0, 141, 174,
5012 148, 150, 240, 150, 204, 157, 141, 141, 148, 141,
5013 141, 141, 190, 141, 193, 141, 141, 141, 142, 142,
5014 142, 142, 204, 142, 190, 157, 193, 240, 0, 204,
5015 191, 142, 142, 198, 142, 142, 142, 190, 142, 193,
5016
5017 142, 142, 142, 198, 191, 243, 204, 142, 190, 157,
5018 193, 164, 164, 164, 164, 191, 0, 0, 198, 558,
5019 558, 558, 558, 559, 559, 559, 559, 198, 191, 0,
5020 243, 164, 142, 156, 156, 156, 156, 156, 156, 156,
5021 156, 156, 156, 156, 156, 156, 156, 199, 205, 156,
5022 196, 156, 164, 217, 156, 156, 199, 195, 195, 195,
5023 195, 205, 196, 217, 196, 202, 206, 244, 219, 202,
5024 206, 219, 199, 205, 249, 196, 164, 195, 217, 202,
5025 199, 202, 156, 0, 0, 205, 196, 217, 196, 0,
5026 202, 206, 244, 219, 202, 206, 219, 0, 0, 249,
5027
5028 0, 276, 0, 202, 0, 202, 156, 156, 158, 158,
5029 158, 158, 158, 158, 158, 158, 158, 158, 158, 158,
5030 158, 158, 210, 203, 158, 276, 158, 203, 214, 158,
5031 158, 207, 207, 207, 207, 0, 210, 214, 203, 208,
5032 208, 208, 208, 209, 211, 209, 211, 210, 203, 300,
5033 158, 207, 203, 214, 353, 212, 264, 158, 0, 208,
5034 210, 214, 203, 212, 212, 0, 231, 0, 209, 211,
5035 209, 211, 0, 300, 158, 264, 264, 0, 353, 231,
5036 212, 158, 158, 167, 167, 167, 167, 212, 212, 167,
5037 213, 213, 216, 218, 216, 218, 220, 167, 230, 167,
5038
5039 167, 230, 167, 167, 231, 213, 0, 220, 167, 167,
5040 167, 167, 167, 167, 230, 213, 213, 216, 218, 216,
5041 218, 220, 242, 354, 280, 242, 310, 0, 0, 213,
5042 247, 220, 167, 167, 167, 167, 167, 167, 167, 175,
5043 175, 175, 175, 313, 175, 247, 222, 354, 242, 280,
5044 0, 310, 175, 175, 247, 175, 175, 175, 222, 175,
5045 0, 175, 175, 175, 176, 176, 176, 176, 313, 246,
5046 247, 222, 246, 242, 260, 176, 176, 260, 247, 364,
5047 262, 314, 222, 262, 176, 246, 266, 282, 277, 266,
5048 260, 176, 268, 176, 273, 268, 262, 273, 277, 282,
5049
5050 246, 317, 266, 364, 176, 0, 314, 0, 268, 246,
5051 273, 0, 282, 277, 335, 281, 176, 297, 176, 0,
5052 0, 297, 277, 282, 281, 246, 317, 0, 176, 177,
5053 177, 177, 177, 246, 177, 278, 278, 278, 278, 335,
5054 281, 0, 177, 177, 283, 177, 177, 177, 281, 177,
5055 0, 177, 177, 177, 283, 278, 0, 297, 177, 279,
5056 279, 279, 279, 0, 287, 287, 287, 287, 0, 283,
5057 284, 284, 284, 284, 278, 287, 287, 316, 283, 279,
5058 319, 297, 349, 177, 194, 194, 194, 194, 316, 0,
5059 284, 287, 312, 287, 0, 319, 315, 0, 278, 279,
5060
5061 0, 312, 316, 0, 194, 319, 315, 349, 284, 194,
5062 194, 194, 316, 194, 194, 320, 287, 312, 287, 319,
5063 194, 315, 320, 279, 194, 312, 194, 194, 194, 194,
5064 315, 470, 284, 0, 194, 194, 194, 0, 194, 194,
5065 320, 470, 470, 0, 194, 0, 320, 0, 194, 0,
5066 194, 194, 194, 194, 225, 225, 225, 225, 225, 225,
5067 225, 225, 225, 225, 225, 225, 225, 225, 225, 225,
5068 225, 225, 225, 225, 225, 225, 225, 225, 225, 225,
5069 290, 290, 290, 290, 225, 286, 286, 286, 286, 365,
5070 285, 285, 285, 285, 352, 355, 290, 295, 295, 295,
5071
5072 295, 0, 295, 225, 225, 286, 0, 0, 318, 225,
5073 285, 359, 0, 365, 291, 291, 291, 291, 0, 352,
5074 355, 0, 318, 0, 286, 291, 291, 225, 225, 226,
5075 291, 285, 226, 318, 345, 361, 359, 295, 226, 226,
5076 345, 0, 226, 226, 226, 226, 318, 226, 286, 226,
5077 226, 226, 226, 226, 329, 285, 369, 329, 226, 345,
5078 361, 295, 0, 0, 345, 292, 292, 292, 292, 0,
5079 296, 296, 296, 296, 0, 0, 292, 292, 0, 329,
5080 369, 292, 329, 226, 234, 234, 362, 234, 234, 234,
5081 234, 234, 234, 234, 234, 234, 234, 234, 234, 234,
5082
5083 234, 234, 234, 234, 292, 234, 234, 234, 234, 234,
5084 296, 362, 321, 323, 363, 323, 301, 301, 301, 301,
5085 328, 0, 321, 325, 330, 325, 330, 339, 292, 328,
5086 376, 339, 0, 234, 296, 0, 301, 321, 323, 363,
5087 323, 477, 477, 477, 477, 328, 321, 0, 325, 330,
5088 325, 330, 339, 328, 376, 301, 339, 234, 234, 235,
5089 235, 477, 235, 235, 235, 235, 235, 235, 235, 235,
5090 235, 235, 235, 235, 235, 235, 235, 235, 235, 301,
5091 235, 235, 235, 235, 235, 288, 288, 288, 288, 289,
5092 289, 289, 289, 464, 304, 304, 304, 304, 235, 0,
5093
5094 289, 289, 0, 0, 0, 289, 0, 0, 235, 0,
5095 304, 0, 288, 0, 288, 0, 289, 464, 289, 332,
5096 333, 332, 235, 333, 293, 293, 293, 293, 311, 311,
5097 311, 311, 235, 235, 304, 293, 293, 288, 324, 288,
5098 293, 289, 326, 289, 332, 333, 332, 0, 333, 370,
5099 326, 326, 324, 0, 0, 0, 337, 311, 304, 337,
5100 368, 0, 293, 324, 0, 0, 0, 326, 370, 370,
5101 306, 306, 306, 306, 326, 326, 324, 305, 305, 305,
5102 305, 337, 311, 0, 337, 368, 293, 298, 298, 298,
5103 298, 298, 298, 298, 298, 298, 298, 298, 298, 298,
5104
5105 298, 331, 0, 298, 305, 298, 305, 327, 298, 298,
5106 306, 331, 307, 307, 307, 307, 0, 305, 375, 334,
5107 0, 327, 466, 307, 307, 0, 331, 298, 307, 305,
5108 334, 305, 327, 322, 306, 331, 298, 322, 336, 0,
5109 338, 305, 467, 375, 334, 327, 466, 322, 371, 322,
5110 336, 298, 338, 307, 334, 0, 0, 0, 322, 377,
5111 298, 298, 322, 336, 340, 338, 467, 371, 371, 0,
5112 0, 322, 341, 322, 336, 340, 338, 307, 308, 308,
5113 308, 308, 342, 308, 377, 341, 342, 0, 343, 340,
5114 343, 308, 308, 401, 308, 308, 308, 341, 308, 340,
5115
5116 308, 308, 308, 308, 469, 0, 0, 342, 344, 341,
5117 346, 342, 346, 343, 0, 343, 410, 971, 401, 971,
5118 344, 971, 0, 469, 469, 0, 0, 971, 308, 309,
5119 309, 309, 309, 344, 309, 346, 348, 346, 411, 347,
5120 0, 410, 309, 309, 344, 309, 309, 309, 347, 309,
5121 348, 309, 309, 309, 379, 351, 379, 378, 309, 351,
5122 386, 348, 0, 411, 347, 350, 350, 350, 350, 386,
5123 0, 378, 347, 472, 348, 416, 414, 417, 420, 379,
5124 351, 379, 378, 309, 351, 386, 380, 380, 380, 380,
5125 414, 435, 409, 386, 350, 378, 0, 472, 409, 0,
5126
5127 416, 414, 417, 420, 0, 0, 380, 0, 399, 0,
5128 0, 0, 399, 0, 414, 380, 435, 409, 0, 350,
5129 357, 357, 409, 357, 357, 357, 357, 357, 357, 357,
5130 357, 357, 357, 357, 357, 357, 357, 357, 357, 357,
5131 380, 357, 357, 357, 357, 357, 399, 492, 0, 357,
5132 447, 0, 381, 381, 381, 381, 382, 382, 382, 382,
5133 0, 383, 383, 383, 383, 384, 384, 384, 384, 357,
5134 399, 492, 381, 388, 357, 447, 382, 383, 449, 0,
5135 415, 384, 388, 382, 385, 385, 385, 385, 383, 422,
5136 383, 0, 415, 357, 357, 381, 449, 497, 388, 422,
5137
5138 385, 0, 0, 449, 384, 415, 388, 0, 382, 421,
5139 429, 421, 429, 383, 422, 383, 415, 0, 0, 381,
5140 449, 497, 385, 422, 390, 390, 390, 390, 384, 391,
5141 391, 391, 391, 0, 421, 429, 421, 429, 515, 0,
5142 390, 392, 392, 392, 392, 391, 385, 387, 387, 387,
5143 387, 394, 394, 394, 394, 390, 0, 392, 419, 397,
5144 391, 0, 515, 387, 390, 397, 397, 394, 397, 391,
5145 419, 425, 392, 427, 387, 425, 387, 432, 387, 432,
5146 390, 392, 394, 419, 397, 391, 427, 387, 390, 397,
5147 397, 394, 397, 391, 419, 426, 425, 392, 427, 387,
5148
5149 425, 387, 432, 387, 432, 392, 426, 394, 0, 0,
5150 427, 387, 433, 0, 0, 394, 395, 395, 395, 395,
5151 426, 433, 396, 396, 396, 396, 0, 398, 0, 0,
5152 426, 551, 395, 396, 396, 0, 398, 433, 396, 398,
5153 0, 404, 404, 404, 404, 433, 450, 395, 418, 418,
5154 418, 418, 398, 396, 428, 551, 395, 404, 428, 455,
5155 398, 552, 396, 398, 406, 406, 406, 406, 0, 0,
5156 0, 450, 395, 0, 0, 0, 456, 418, 396, 428,
5157 395, 0, 404, 428, 455, 552, 396, 400, 400, 400,
5158 400, 400, 400, 400, 400, 400, 400, 400, 400, 400,
5159
5160 400, 456, 418, 400, 406, 400, 404, 564, 400, 400,
5161 402, 402, 402, 402, 463, 400, 403, 403, 403, 403,
5162 0, 424, 0, 405, 405, 405, 405, 465, 406, 0,
5163 402, 564, 403, 424, 471, 0, 400, 402, 0, 463,
5164 400, 0, 407, 407, 407, 407, 424, 403, 412, 412,
5165 412, 412, 465, 430, 405, 0, 403, 424, 408, 471,
5166 400, 400, 402, 405, 0, 430, 408, 408, 412, 0,
5167 0, 434, 403, 407, 413, 413, 413, 413, 430, 405,
5168 403, 0, 407, 408, 0, 434, 567, 405, 412, 430,
5169 408, 408, 423, 431, 413, 423, 434, 0, 407, 431,
5170
5171 436, 436, 436, 436, 0, 475, 407, 437, 491, 434,
5172 567, 437, 412, 0, 413, 438, 0, 423, 431, 438,
5173 423, 439, 440, 431, 441, 439, 0, 442, 443, 436,
5174 475, 440, 437, 491, 441, 445, 437, 444, 413, 445,
5175 438, 442, 443, 0, 438, 0, 439, 440, 0, 441,
5176 439, 444, 442, 443, 436, 440, 496, 446, 441, 448,
5177 445, 446, 444, 448, 445, 442, 443, 451, 451, 451,
5178 451, 452, 452, 452, 452, 444, 453, 503, 473, 0,
5179 453, 496, 446, 0, 448, 0, 446, 451, 448, 0,
5180 473, 452, 0, 0, 451, 498, 451, 502, 452, 502,
5181
5182 452, 453, 503, 473, 498, 453, 454, 454, 454, 454,
5183 457, 457, 457, 457, 473, 468, 468, 468, 468, 451,
5184 498, 451, 502, 452, 502, 452, 468, 454, 498, 0,
5185 0, 457, 458, 458, 458, 458, 458, 458, 458, 458,
5186 458, 458, 458, 458, 458, 458, 458, 458, 458, 458,
5187 458, 458, 0, 458, 458, 458, 458, 458, 459, 459,
5188 459, 459, 460, 460, 460, 460, 461, 461, 461, 461,
5189 474, 474, 474, 474, 476, 476, 476, 476, 0, 459,
5190 569, 458, 478, 478, 478, 478, 0, 479, 479, 479,
5191 479, 514, 460, 0, 476, 517, 461, 522, 0, 474,
5192
5193 523, 534, 478, 479, 569, 458, 458, 480, 480, 480,
5194 480, 478, 537, 0, 0, 476, 514, 460, 479, 0,
5195 517, 461, 522, 480, 474, 523, 534, 479, 481, 481,
5196 481, 481, 482, 482, 482, 482, 478, 537, 480, 476,
5197 0, 0, 0, 479, 481, 0, 0, 480, 482, 485,
5198 484, 479, 484, 519, 519, 519, 519, 485, 485, 481,
5199 0, 484, 0, 480, 483, 483, 483, 483, 481, 482,
5200 482, 480, 0, 519, 485, 484, 571, 484, 0, 0,
5201 483, 485, 485, 0, 481, 484, 488, 488, 488, 488,
5202 519, 521, 481, 482, 482, 489, 489, 489, 489, 0,
5203
5204 521, 571, 488, 490, 490, 490, 490, 483, 525, 501,
5205 0, 489, 525, 501, 519, 488, 521, 0, 574, 490,
5206 493, 493, 493, 493, 521, 494, 494, 494, 494, 499,
5207 499, 483, 593, 525, 489, 501, 493, 525, 594, 499,
5208 488, 494, 574, 495, 495, 495, 495, 0, 500, 493,
5209 500, 0, 0, 0, 499, 499, 593, 500, 489, 495,
5210 501, 575, 594, 499, 494, 504, 504, 504, 504, 516,
5211 516, 516, 516, 500, 493, 500, 505, 505, 505, 505,
5212 513, 500, 0, 526, 513, 504, 575, 526, 494, 516,
5213 602, 0, 505, 513, 504, 506, 506, 506, 506, 507,
5214
5215 507, 507, 507, 603, 524, 513, 524, 505, 526, 513,
5216 516, 506, 526, 546, 602, 507, 505, 513, 0, 504,
5217 609, 508, 508, 508, 508, 546, 506, 603, 541, 524,
5218 507, 524, 505, 509, 516, 506, 0, 508, 546, 507,
5219 505, 509, 509, 509, 609, 510, 510, 510, 510, 546,
5220 0, 506, 614, 518, 541, 507, 0, 518, 509, 506,
5221 508, 518, 0, 507, 0, 509, 509, 509, 511, 511,
5222 511, 511, 512, 512, 512, 512, 614, 618, 518, 541,
5223 529, 530, 518, 649, 508, 510, 518, 527, 512, 528,
5224 520, 520, 520, 520, 529, 530, 527, 620, 0, 528,
5225
5226 532, 531, 618, 0, 532, 529, 530, 649, 511, 510,
5227 520, 512, 527, 512, 528, 531, 536, 533, 529, 530,
5228 527, 533, 620, 528, 535, 532, 531, 520, 535, 532,
5229 539, 0, 511, 0, 536, 512, 538, 512, 542, 531,
5230 538, 536, 533, 0, 539, 540, 533, 542, 540, 535,
5231 543, 520, 622, 535, 543, 539, 544, 547, 536, 547,
5232 545, 538, 549, 542, 545, 538, 548, 548, 539, 549,
5233 540, 542, 550, 540, 544, 543, 0, 622, 0, 543,
5234 0, 544, 547, 550, 547, 545, 625, 549, 0, 545,
5235 607, 548, 548, 549, 607, 636, 0, 550, 544, 553,
5236
5237 553, 553, 553, 554, 554, 554, 554, 550, 555, 0,
5238 0, 555, 625, 556, 556, 556, 556, 576, 607, 553,
5239 636, 576, 577, 554, 560, 560, 560, 560, 561, 561,
5240 561, 561, 0, 555, 556, 577, 555, 625, 553, 639,
5241 0, 554, 576, 607, 0, 560, 576, 577, 604, 561,
5242 566, 566, 566, 566, 560, 0, 604, 604, 561, 577,
5243 0, 0, 553, 0, 639, 554, 570, 570, 570, 570,
5244 0, 566, 0, 604, 0, 0, 0, 570, 0, 560,
5245 604, 604, 0, 561, 562, 562, 562, 562, 562, 562,
5246 562, 562, 562, 562, 562, 562, 562, 562, 562, 562,
5247
5248 562, 562, 562, 562, 562, 562, 562, 562, 562, 562,
5249 572, 572, 572, 572, 578, 578, 578, 578, 616, 644,
5250 0, 572, 580, 580, 580, 580, 579, 579, 579, 579,
5251 0, 0, 616, 562, 578, 581, 581, 581, 581, 0,
5252 585, 627, 580, 616, 644, 627, 579, 578, 0, 585,
5253 585, 581, 582, 582, 582, 582, 616, 562, 562, 565,
5254 565, 565, 565, 579, 580, 585, 627, 0, 582, 608,
5255 627, 0, 578, 585, 585, 581, 646, 583, 583, 583,
5256 583, 647, 582, 0, 608, 0, 565, 579, 580, 565,
5257 565, 584, 565, 583, 608, 565, 621, 0, 584, 581,
5258
5259 584, 646, 586, 586, 586, 586, 647, 582, 608, 621,
5260 583, 565, 0, 0, 565, 565, 584, 565, 586, 565,
5261 660, 621, 584, 0, 584, 587, 587, 587, 587, 588,
5262 588, 588, 588, 621, 0, 583, 589, 589, 589, 589,
5263 586, 587, 0, 628, 660, 588, 590, 590, 590, 590,
5264 637, 0, 589, 591, 591, 591, 591, 592, 592, 592,
5265 592, 628, 661, 663, 586, 637, 587, 589, 628, 591,
5266 0, 0, 0, 592, 626, 637, 589, 590, 595, 595,
5267 595, 595, 0, 626, 591, 628, 590, 661, 663, 637,
5268 587, 0, 589, 591, 595, 596, 596, 596, 596, 626,
5269
5270 589, 0, 590, 597, 597, 597, 597, 626, 0, 591,
5271 590, 596, 598, 598, 598, 598, 595, 591, 0, 597,
5272 0, 662, 599, 599, 599, 599, 662, 0, 598, 0,
5273 0, 0, 600, 600, 600, 600, 596, 0, 0, 617,
5274 595, 617, 605, 598, 605, 662, 0, 0, 600, 606,
5275 662, 605, 598, 599, 601, 601, 601, 601, 606, 630,
5276 596, 606, 599, 600, 617, 0, 617, 605, 598, 605,
5277 601, 630, 600, 0, 606, 605, 598, 0, 599, 0,
5278 0, 664, 606, 629, 630, 606, 599, 629, 600, 610,
5279 610, 610, 610, 643, 0, 630, 600, 611, 611, 611,
5280
5281 611, 612, 612, 612, 612, 613, 664, 613, 629, 610,
5282 665, 643, 629, 611, 0, 0, 613, 612, 643, 615,
5283 615, 615, 615, 619, 619, 619, 619, 623, 612, 0,
5284 613, 610, 613, 670, 665, 643, 611, 0, 611, 615,
5285 613, 623, 624, 619, 631, 624, 631, 633, 619, 632,
5286 632, 642, 623, 612, 633, 610, 635, 670, 615, 635,
5287 611, 642, 611, 632, 687, 623, 634, 624, 0, 631,
5288 624, 631, 633, 619, 632, 632, 642, 634, 633, 668,
5289 640, 635, 615, 0, 635, 642, 0, 632, 687, 640,
5290 669, 634, 638, 638, 638, 638, 641, 641, 641, 641,
5291
5292 0, 634, 645, 0, 668, 640, 648, 648, 650, 650,
5293 650, 650, 638, 640, 671, 669, 694, 645, 651, 651,
5294 651, 651, 652, 686, 672, 641, 672, 645, 650, 652,
5295 648, 648, 0, 653, 653, 653, 653, 0, 651, 671,
5296 694, 645, 693, 696, 0, 650, 650, 652, 686, 672,
5297 641, 672, 696, 652, 653, 0, 654, 654, 654, 654,
5298 0, 651, 655, 655, 655, 655, 0, 693, 696, 650,
5299 650, 673, 656, 656, 656, 656, 696, 654, 658, 658,
5300 658, 658, 0, 655, 673, 651, 654, 674, 674, 674,
5301 674, 700, 655, 656, 0, 0, 673, 699, 0, 658,
5302
5303 708, 704, 706, 675, 675, 675, 675, 674, 673, 0,
5304 712, 654, 699, 714, 674, 700, 656, 655, 676, 676,
5305 676, 676, 699, 675, 708, 698, 704, 706, 0, 698,
5306 677, 677, 677, 677, 676, 712, 699, 0, 714, 674,
5307 656, 657, 657, 657, 657, 675, 677, 0, 0, 678,
5308 678, 678, 678, 701, 701, 701, 701, 676, 715, 679,
5309 719, 679, 657, 677, 721, 678, 698, 715, 657, 675,
5310 679, 657, 657, 701, 657, 722, 0, 657, 0, 0,
5311 0, 676, 0, 715, 679, 719, 679, 0, 677, 721,
5312 698, 715, 678, 657, 679, 701, 657, 657, 0, 657,
5313
5314 722, 657, 659, 659, 659, 659, 659, 659, 659, 659,
5315 659, 659, 659, 659, 659, 659, 678, 680, 659, 701,
5316 659, 735, 733, 659, 659, 0, 680, 0, 0, 680,
5317 681, 681, 681, 681, 733, 710, 682, 682, 682, 682,
5318 0, 0, 680, 0, 734, 735, 681, 733, 710, 736,
5319 680, 659, 682, 680, 683, 683, 683, 683, 733, 681,
5320 710, 684, 684, 684, 684, 685, 685, 685, 685, 734,
5321 683, 0, 710, 695, 736, 659, 659, 684, 0, 682,
5322 695, 685, 695, 683, 681, 688, 688, 688, 688, 689,
5323 689, 689, 689, 690, 690, 690, 690, 0, 695, 0,
5324
5325 684, 688, 737, 682, 695, 689, 695, 0, 683, 690,
5326 691, 691, 691, 691, 688, 692, 692, 692, 692, 697,
5327 711, 711, 690, 717, 684, 713, 691, 737, 697, 741,
5328 754, 692, 689, 717, 755, 697, 0, 0, 0, 688,
5329 713, 756, 703, 0, 697, 711, 711, 690, 717, 691,
5330 713, 703, 697, 703, 741, 754, 689, 717, 755, 697,
5331 702, 702, 702, 702, 713, 756, 0, 703, 705, 705,
5332 705, 705, 724, 691, 724, 703, 702, 703, 707, 707,
5333 707, 707, 709, 709, 709, 709, 718, 723, 705, 702,
5334 716, 716, 716, 716, 723, 705, 725, 724, 720, 724,
5335
5336 726, 727, 709, 757, 718, 0, 759, 707, 0, 726,
5337 725, 718, 723, 720, 702, 727, 0, 0, 723, 716,
5338 705, 725, 709, 720, 0, 726, 727, 757, 718, 730,
5339 759, 0, 707, 726, 725, 763, 760, 720, 730, 727,
5340 728, 728, 728, 728, 716, 0, 709, 729, 729, 729,
5341 729, 0, 0, 0, 730, 731, 731, 731, 731, 763,
5342 728, 760, 730, 732, 732, 732, 732, 729, 738, 738,
5343 738, 738, 777, 739, 739, 739, 739, 740, 740, 740,
5344 740, 0, 0, 0, 731, 0, 0, 783, 738, 0,
5345 0, 794, 732, 739, 819, 0, 777, 740, 742, 742,
5346
5347 742, 742, 796, 739, 743, 743, 743, 743, 738, 731,
5348 740, 783, 744, 744, 744, 744, 794, 732, 819, 742,
5349 745, 745, 745, 745, 0, 743, 0, 796, 739, 0,
5350 0, 0, 738, 744, 802, 740, 746, 746, 746, 746,
5351 0, 745, 821, 802, 747, 747, 747, 747, 748, 748,
5352 748, 748, 0, 750, 750, 750, 750, 746, 745, 802,
5353 800, 746, 800, 0, 808, 747, 821, 802, 0, 748,
5354 749, 749, 749, 749, 750, 0, 809, 748, 752, 752,
5355 752, 752, 745, 747, 0, 800, 746, 800, 747, 808,
5356 811, 749, 750, 751, 751, 751, 751, 0, 751, 752,
5357
5358 749, 809, 748, 766, 766, 766, 766, 747, 761, 761,
5359 761, 761, 747, 0, 751, 811, 750, 832, 788, 761,
5360 813, 818, 788, 766, 0, 749, 753, 753, 753, 753,
5361 753, 753, 753, 753, 753, 753, 753, 753, 753, 753,
5362 0, 832, 753, 0, 753, 813, 818, 753, 753, 762,
5363 762, 762, 762, 764, 764, 764, 764, 0, 0, 788,
5364 762, 765, 765, 765, 765, 767, 767, 767, 767, 0,
5365 0, 0, 765, 0, 0, 753, 767, 0, 768, 768,
5366 768, 768, 764, 788, 820, 767, 769, 769, 769, 769,
5367 765, 0, 0, 833, 768, 778, 778, 778, 778, 753,
5368
5369 753, 771, 769, 770, 770, 770, 770, 764, 836, 820,
5370 771, 771, 0, 0, 0, 765, 768, 769, 833, 770,
5371 772, 772, 772, 772, 804, 0, 771, 773, 773, 773,
5372 773, 0, 836, 804, 771, 771, 774, 774, 774, 774,
5373 768, 835, 769, 773, 0, 775, 775, 775, 775, 804,
5374 770, 772, 774, 776, 776, 776, 776, 804, 0, 0,
5375 772, 775, 779, 779, 779, 779, 835, 0, 773, 776,
5376 780, 780, 780, 780, 770, 861, 772, 869, 779, 781,
5377 781, 781, 781, 775, 772, 0, 780, 825, 782, 782,
5378 782, 782, 773, 0, 776, 781, 784, 784, 784, 784,
5379
5380 861, 825, 869, 779, 782, 0, 843, 775, 785, 807,
5381 785, 786, 790, 790, 790, 790, 0, 781, 776, 785,
5382 786, 807, 884, 790, 786, 0, 825, 779, 0, 782,
5383 843, 0, 0, 785, 807, 785, 786, 787, 787, 787,
5384 787, 781, 0, 785, 786, 807, 884, 0, 786, 791,
5385 791, 791, 791, 782, 789, 789, 789, 789, 891, 893,
5386 791, 827, 827, 827, 827, 789, 801, 793, 787, 791,
5387 792, 792, 792, 792, 908, 0, 793, 787, 793, 0,
5388 801, 789, 827, 891, 893, 0, 792, 795, 795, 795,
5389 795, 801, 793, 787, 797, 797, 797, 797, 908, 803,
5390
5391 793, 787, 793, 827, 801, 797, 789, 795, 798, 798,
5392 798, 798, 792, 803, 910, 810, 795, 0, 0, 798,
5393 799, 799, 799, 799, 803, 812, 895, 827, 798, 810,
5394 922, 799, 805, 805, 805, 805, 792, 803, 910, 812,
5395 810, 795, 806, 806, 806, 806, 896, 898, 909, 799,
5396 812, 895, 0, 810, 922, 815, 815, 815, 815, 0,
5397 896, 805, 0, 812, 816, 816, 816, 816, 0, 0,
5398 0, 806, 898, 909, 799, 815, 840, 840, 840, 840,
5399 830, 830, 830, 830, 816, 896, 805, 822, 822, 822,
5400 822, 0, 817, 817, 817, 817, 806, 814, 814, 814,
5401
5402 814, 830, 814, 823, 823, 823, 823, 822, 0, 0,
5403 814, 814, 817, 814, 814, 814, 814, 814, 817, 814,
5404 814, 814, 981, 823, 914, 0, 822, 824, 824, 824,
5405 824, 826, 826, 826, 826, 851, 823, 851, 828, 828,
5406 828, 828, 920, 817, 851, 999, 981, 824, 940, 914,
5407 822, 0, 826, 829, 829, 829, 829, 890, 826, 828,
5408 851, 823, 851, 831, 831, 831, 831, 920, 851, 999,
5409 824, 890, 946, 940, 829, 837, 837, 837, 837, 828,
5410 1002, 0, 890, 826, 831, 0, 837, 838, 838, 838,
5411 838, 829, 947, 952, 824, 890, 0, 946, 838, 0,
5412
5413 984, 0, 831, 828, 1002, 841, 841, 841, 841, 842,
5414 842, 842, 842, 1007, 1001, 829, 841, 947, 952, 0,
5415 842, 844, 844, 844, 844, 984, 831, 845, 845, 845,
5416 845, 846, 846, 846, 846, 0, 0, 1007, 845, 1001,
5417 0, 844, 847, 847, 847, 847, 0, 845, 1012, 1027,
5418 0, 846, 0, 847, 848, 848, 848, 848, 849, 849,
5419 849, 849, 847, 850, 850, 850, 850, 0, 0, 0,
5420 848, 872, 1012, 1027, 849, 852, 852, 852, 852, 850,
5421 872, 872, 1013, 848, 1021, 853, 853, 853, 853, 892,
5422 0, 852, 854, 854, 854, 854, 872, 855, 855, 855,
5423
5424 855, 853, 849, 892, 872, 872, 852, 1013, 848, 1021,
5425 1036, 1094, 852, 855, 892, 852, 853, 856, 856, 856,
5426 856, 0, 853, 0, 0, 853, 849, 892, 0, 854,
5427 855, 852, 1095, 856, 1036, 1094, 852, 0, 0, 852,
5428 0, 853, 877, 877, 877, 877, 853, 877, 856, 853,
5429 1050, 1054, 897, 854, 856, 855, 1095, 856, 857, 857,
5430 857, 857, 877, 859, 859, 859, 859, 897, 858, 858,
5431 858, 858, 960, 856, 857, 1050, 1054, 897, 856, 859,
5432 1106, 856, 960, 1107, 858, 860, 860, 860, 860, 857,
5433 988, 897, 859, 1022, 0, 857, 1056, 960, 857, 858,
5434
5435 1067, 860, 988, 1022, 1106, 858, 960, 1107, 858, 862,
5436 862, 862, 862, 0, 857, 988, 0, 859, 1022, 857,
5437 0, 1056, 857, 1084, 858, 1067, 988, 1022, 860, 858,
5438 983, 1092, 858, 863, 863, 863, 863, 864, 864, 864,
5439 864, 865, 865, 865, 865, 983, 862, 0, 1084, 863,
5440 1103, 1113, 860, 864, 1118, 983, 1092, 865, 866, 866,
5441 866, 866, 876, 876, 876, 876, 863, 876, 864, 983,
5442 862, 1028, 865, 876, 864, 1103, 1113, 864, 865, 1118,
5443 1028, 865, 0, 867, 867, 867, 867, 868, 868, 868,
5444 868, 863, 1135, 864, 0, 866, 1028, 865, 864, 867,
5445
5446 0, 864, 865, 868, 1028, 865, 870, 870, 870, 870,
5447 0, 871, 867, 1035, 1035, 1035, 1035, 1135, 871, 866,
5448 871, 1136, 873, 873, 873, 873, 880, 880, 880, 880,
5449 868, 0, 874, 874, 874, 874, 871, 867, 873, 1140,
5450 0, 0, 871, 870, 871, 1136, 880, 0, 874, 875,
5451 875, 875, 875, 873, 868, 886, 886, 886, 886, 1145,
5452 875, 875, 873, 874, 1140, 875, 886, 870, 882, 882,
5453 882, 882, 874, 0, 878, 878, 878, 878, 873, 878,
5454 875, 1144, 1149, 1145, 882, 878, 873, 1150, 874, 875,
5455 879, 879, 879, 879, 878, 879, 874, 881, 881, 881,
5456
5457 881, 879, 1141, 1059, 0, 875, 1144, 1149, 881, 0,
5458 882, 1150, 0, 875, 0, 1059, 1141, 881, 883, 883,
5459 883, 883, 885, 885, 885, 885, 0, 1141, 1059, 883,
5460 888, 888, 888, 888, 882, 889, 889, 889, 889, 1059,
5461 1141, 888, 885, 0, 0, 1162, 889, 1025, 0, 883,
5462 888, 900, 900, 900, 900, 889, 0, 1025, 883, 0,
5463 901, 901, 901, 901, 885, 901, 899, 899, 899, 899,
5464 1162, 900, 1025, 1159, 883, 0, 0, 901, 0, 901,
5465 901, 1025, 883, 917, 917, 917, 917, 0, 885, 894,
5466 894, 894, 894, 0, 894, 899, 0, 1159, 912, 912,
5467
5468 912, 912, 894, 894, 917, 894, 894, 894, 894, 894,
5469 0, 894, 894, 894, 902, 902, 902, 902, 912, 0,
5470 899, 904, 904, 904, 904, 902, 0, 0, 0, 912,
5471 905, 905, 905, 905, 902, 903, 903, 903, 903, 1172,
5472 903, 904, 1043, 1043, 1043, 1043, 903, 904, 903, 903,
5473 905, 903, 903, 903, 912, 903, 905, 903, 903, 903,
5474 906, 906, 906, 906, 1172, 0, 911, 911, 911, 911,
5475 0, 0, 904, 0, 913, 913, 913, 913, 1161, 0,
5476 906, 905, 916, 916, 916, 916, 911, 915, 915, 915,
5477 915, 0, 0, 0, 913, 919, 919, 919, 919, 906,
5478
5479 0, 0, 1161, 916, 911, 0, 0, 0, 915, 0,
5480 0, 916, 1071, 1071, 1071, 1071, 919, 1175, 1175, 1175,
5481 1175, 913, 0, 906, 907, 907, 907, 907, 911, 907,
5482 915, 918, 918, 918, 918, 919, 916, 907, 907, 0,
5483 907, 907, 907, 907, 907, 913, 907, 907, 907, 0,
5484 0, 0, 918, 1213, 915, 924, 924, 924, 924, 919,
5485 918, 925, 925, 925, 925, 0, 924, 927, 927, 927,
5486 927, 1082, 925, 928, 928, 928, 928, 1213, 927, 929,
5487 929, 929, 929, 1082, 928, 918, 930, 930, 930, 930,
5488 929, 931, 931, 931, 931, 0, 1082, 930, 932, 932,
5489
5490 932, 932, 931, 0, 0, 0, 930, 1082, 1176, 932,
5491 1214, 931, 933, 933, 933, 933, 0, 1180, 932, 934,
5492 934, 934, 934, 933, 935, 935, 935, 935, 936, 936,
5493 936, 936, 933, 1176, 1214, 934, 1228, 939, 0, 1184,
5494 935, 939, 1180, 0, 936, 937, 937, 937, 937, 0,
5495 939, 938, 938, 938, 938, 1211, 0, 934, 0, 936,
5496 1228, 937, 939, 941, 1184, 935, 939, 938, 936, 941,
5497 942, 942, 942, 942, 939, 1222, 937, 0, 941, 0,
5498 1211, 934, 938, 1051, 936, 937, 942, 0, 941, 935,
5499 1229, 938, 936, 1051, 941, 1237, 1279, 942, 0, 1239,
5500
5501 1222, 937, 941, 943, 943, 943, 943, 938, 1051, 937,
5502 944, 944, 944, 944, 1229, 938, 0, 1051, 1240, 943,
5503 1237, 1279, 942, 1239, 1254, 0, 944, 0, 943, 945,
5504 945, 945, 945, 948, 948, 948, 948, 954, 949, 949,
5505 949, 949, 1240, 954, 1283, 945, 0, 1116, 1254, 948,
5506 1258, 944, 954, 943, 949, 950, 950, 950, 950, 1116,
5507 948, 953, 954, 949, 951, 951, 951, 951, 954, 1283,
5508 953, 950, 1116, 953, 1258, 944, 954, 0, 0, 1297,
5509 951, 1259, 0, 1116, 0, 948, 953, 0, 949, 0,
5510 955, 955, 955, 955, 953, 0, 950, 953, 1273, 1310,
5511
5512 956, 956, 956, 956, 1297, 1259, 955, 957, 957, 957,
5513 957, 958, 958, 958, 958, 1298, 956, 0, 0, 1057,
5514 950, 955, 1273, 957, 1310, 1311, 0, 958, 0, 1057,
5515 955, 956, 966, 966, 966, 966, 1045, 966, 957, 1298,
5516 956, 1058, 958, 966, 1057, 1045, 955, 957, 1045, 1311,
5517 1058, 958, 1319, 1057, 955, 0, 956, 959, 959, 959,
5518 959, 1045, 0, 957, 956, 0, 1058, 958, 1320, 1045,
5519 1332, 957, 1045, 959, 1058, 958, 0, 1319, 961, 961,
5520 961, 961, 967, 967, 967, 967, 959, 1115, 959, 961,
5521 961, 0, 1320, 967, 961, 1332, 1115, 959, 962, 962,
5522
5523 962, 962, 0, 1121, 963, 963, 963, 963, 0, 961,
5524 0, 959, 1115, 959, 962, 1121, 1338, 0, 961, 1344,
5525 1115, 959, 0, 0, 964, 964, 964, 964, 1121, 962,
5526 965, 965, 965, 965, 961, 963, 0, 0, 962, 1121,
5527 1338, 1068, 961, 1344, 963, 969, 969, 969, 969, 0,
5528 969, 1068, 0, 964, 962, 964, 969, 1347, 1343, 1346,
5529 963, 965, 962, 0, 964, 969, 1068, 1114, 963, 965,
5530 965, 970, 970, 970, 970, 1068, 970, 1114, 964, 0,
5531 964, 1347, 970, 1343, 1346, 0, 965, 1348, 964, 0,
5532 0, 970, 1114, 965, 965, 972, 972, 972, 972, 1351,
5533
5534 972, 1114, 973, 973, 973, 973, 972, 973, 974, 974,
5535 974, 974, 1348, 973, 975, 975, 975, 975, 0, 974,
5536 976, 976, 976, 976, 1351, 975, 1337, 1337, 974, 0,
5537 1349, 976, 1352, 0, 975, 0, 976, 977, 977, 977,
5538 977, 978, 978, 978, 978, 1119, 0, 0, 977, 0,
5539 1337, 1337, 978, 977, 1349, 1119, 1352, 978, 979, 979,
5540 979, 979, 980, 980, 980, 980, 1120, 1133, 977, 979,
5541 1119, 1138, 978, 980, 1391, 1120, 1133, 977, 980, 1119,
5542 1138, 978, 982, 982, 982, 982, 985, 985, 985, 985,
5543 0, 1120, 1133, 977, 0, 0, 1138, 978, 1391, 1120,
5544
5545 1133, 977, 982, 1406, 1138, 978, 989, 989, 989, 989,
5546 0, 990, 990, 990, 990, 985, 990, 1417, 991, 991,
5547 991, 991, 1430, 1432, 982, 0, 989, 1406, 990, 991,
5548 990, 990, 1437, 993, 993, 993, 993, 1438, 0, 1304,
5549 985, 1417, 0, 1304, 993, 1134, 1430, 1432, 982, 986,
5550 986, 986, 986, 993, 986, 1433, 1437, 1134, 1443, 0,
5551 986, 1438, 986, 986, 1304, 986, 986, 986, 1304, 986,
5552 1134, 986, 986, 986, 987, 987, 987, 987, 0, 987,
5553 1433, 1134, 1443, 1000, 1000, 1000, 1000, 987, 987, 1434,
5554 987, 987, 987, 987, 987, 1181, 987, 987, 987, 992,
5555
5556 992, 992, 992, 1000, 992, 1156, 1156, 1156, 1156, 1181,
5557 992, 1000, 992, 992, 1434, 992, 992, 992, 0, 992,
5558 1181, 992, 992, 992, 994, 994, 994, 994, 996, 996,
5559 996, 996, 1456, 1181, 1156, 994, 1000, 0, 0, 996,
5560 997, 997, 997, 997, 994, 0, 0, 1444, 996, 0,
5561 0, 997, 1445, 1004, 1004, 1004, 1004, 1456, 0, 1156,
5562 997, 998, 998, 998, 998, 0, 998, 1005, 1005, 1005,
5563 1005, 1444, 998, 1004, 998, 998, 1445, 998, 998, 998,
5564 1477, 998, 1004, 998, 998, 998, 1446, 1005, 1009, 1009,
5565 1009, 1009, 1011, 1011, 1011, 1011, 1008, 1008, 1008, 1008,
5566
5567 0, 1015, 1015, 1015, 1015, 1477, 1451, 1004, 1452, 1009,
5568 1446, 1005, 1015, 1011, 0, 0, 0, 1008, 0, 0,
5569 0, 1015, 1087, 1087, 1087, 1087, 0, 0, 0, 1008,
5570 1451, 0, 1452, 1087, 0, 1005, 1006, 1006, 1006, 1006,
5571 1006, 1006, 1006, 1006, 1006, 1006, 1006, 1006, 1006, 1006,
5572 1006, 1006, 1006, 1006, 1008, 1006, 1479, 1006, 1006, 1006,
5573 1006, 1006, 1010, 1010, 1010, 1010, 0, 1479, 1016, 1016,
5574 1016, 1016, 1017, 1017, 1017, 1017, 1018, 1018, 1018, 1018,
5575 1480, 1479, 0, 1010, 1016, 1006, 0, 1482, 1017, 0,
5576 1491, 1479, 1018, 1076, 1076, 1076, 1076, 1017, 1019, 1019,
5577
5578 1019, 1019, 0, 1010, 1076, 1480, 1499, 1018, 0, 1006,
5579 1006, 1016, 1482, 1076, 1019, 1491, 1018, 1020, 1020, 1020,
5580 1020, 0, 1017, 1029, 1029, 1029, 1029, 1010, 1131, 1019,
5581 1131, 1499, 1018, 1020, 0, 1016, 1506, 1131, 1019, 1029,
5582 1018, 0, 0, 1023, 1023, 1023, 1023, 1487, 1020, 1024,
5583 1024, 1024, 1024, 1131, 1019, 1131, 0, 1020, 1487, 1023,
5584 1506, 1131, 1019, 0, 0, 1024, 1026, 1026, 1026, 1026,
5585 0, 0, 1487, 1020, 1023, 0, 1185, 1065, 1024, 1065,
5586 1024, 1020, 1487, 1023, 1030, 1030, 1030, 1030, 1065, 1024,
5587 1185, 0, 1031, 1031, 1031, 1031, 1399, 1026, 1399, 1023,
5588
5589 1030, 1185, 1065, 1024, 1065, 1024, 1026, 1023, 1031, 0,
5590 0, 0, 1065, 1024, 1185, 1032, 1032, 1032, 1032, 0,
5591 0, 1399, 1026, 1399, 1030, 1031, 1033, 1033, 1033, 1033,
5592 1026, 1032, 0, 1034, 1034, 1034, 1034, 1037, 1037, 1037,
5593 1037, 1508, 1081, 1081, 1081, 1081, 1032, 1504, 1030, 1034,
5594 1031, 0, 1032, 1037, 1510, 1032, 1139, 1033, 1038, 1038,
5595 1038, 1038, 1081, 1033, 1034, 1508, 1033, 1514, 1139, 1519,
5596 1034, 1032, 1504, 1034, 1038, 1509, 1032, 1521, 1510, 1032,
5597 0, 1139, 1033, 1039, 1039, 1039, 1039, 1033, 0, 1034,
5598 1033, 1514, 1139, 1519, 1034, 1513, 0, 1034, 1038, 1039,
5599
5600 1509, 1521, 1040, 1040, 1040, 1040, 1041, 1041, 1041, 1041,
5601 1088, 1088, 1088, 1088, 1526, 0, 1039, 0, 1040, 1518,
5602 1513, 1088, 1038, 1147, 1042, 1042, 1042, 1042, 1044, 1044,
5603 1044, 1044, 1147, 1040, 0, 0, 0, 1041, 1526, 1040,
5604 1042, 1039, 1040, 1041, 1518, 0, 1041, 0, 1147, 1089,
5605 1089, 1089, 1089, 0, 0, 1042, 1147, 1520, 1040, 1044,
5606 1089, 1042, 1041, 1040, 1042, 1212, 1040, 1041, 1044, 1089,
5607 1041, 1046, 1046, 1046, 1046, 1047, 1047, 1047, 1047, 1212,
5608 1042, 1530, 1520, 1525, 1044, 1042, 1548, 1046, 1042, 1148,
5609 1212, 1047, 1044, 1048, 1048, 1048, 1048, 1052, 1052, 1052,
5610
5611 1052, 1148, 1046, 1212, 1532, 1530, 1047, 0, 1525, 1048,
5612 1548, 1046, 1552, 1052, 1148, 1047, 1053, 1053, 1053, 1053,
5613 1062, 1062, 1062, 1062, 1048, 1148, 0, 1046, 1052, 1532,
5614 1551, 1047, 1053, 1048, 1580, 1046, 1552, 1052, 0, 1047,
5615 0, 0, 1061, 1061, 1061, 1061, 0, 1053, 0, 1048,
5616 1563, 1062, 0, 1052, 0, 1551, 1053, 1048, 1580, 1062,
5617 1062, 1052, 1063, 1063, 1063, 1063, 1122, 1122, 1122, 1122,
5618 1178, 1061, 1053, 1061, 0, 1563, 1062, 1122, 1063, 1178,
5619 1053, 0, 1061, 1062, 1062, 1064, 1064, 1064, 1064, 1069,
5620 1069, 1069, 1069, 1063, 0, 1178, 1061, 1464, 1061, 1464,
5621
5622 0, 1064, 1063, 1178, 0, 1069, 1061, 1070, 1070, 1070,
5623 1070, 1072, 1072, 1072, 1072, 0, 1064, 0, 1063, 1182,
5624 1069, 1573, 1464, 1070, 1464, 1064, 1063, 0, 1182, 1069,
5625 1073, 1073, 1073, 1073, 1099, 1099, 1099, 1099, 1070, 1266,
5626 1072, 1064, 1072, 1581, 1182, 1069, 1573, 1070, 1266, 1064,
5627 1582, 1072, 1182, 1069, 1099, 0, 0, 1074, 1074, 1074,
5628 1074, 1073, 1619, 1070, 1266, 1072, 1585, 1072, 1581, 1073,
5629 1073, 1070, 1266, 0, 1582, 1072, 1075, 1075, 1075, 1075,
5630 1077, 1077, 1077, 1077, 1589, 0, 1073, 1619, 1074, 0,
5631 1585, 1077, 0, 1073, 1073, 1074, 1077, 1074, 1078, 1078,
5632
5633 1078, 1078, 1108, 1108, 1108, 1108, 1363, 1075, 1589, 1078,
5634 1363, 1077, 1627, 1074, 1078, 1591, 1075, 1075, 1108, 1074,
5635 1077, 1074, 1090, 1090, 1090, 1090, 0, 0, 1470, 1078,
5636 1470, 1363, 1075, 1090, 0, 1363, 1077, 1627, 1078, 1591,
5637 1075, 1075, 1090, 0, 1077, 1079, 1079, 1079, 1079, 1093,
5638 1093, 1093, 1093, 1470, 1078, 1470, 1079, 1097, 1097, 1097,
5639 1097, 1079, 1078, 0, 1098, 1098, 1098, 1098, 1595, 1093,
5640 0, 0, 1105, 1105, 1105, 1105, 1079, 1097, 1104, 1104,
5641 1104, 1104, 1179, 1632, 1098, 1079, 0, 1124, 1124, 1124,
5642 1124, 1647, 1595, 1105, 1179, 1097, 1093, 1105, 1124, 1104,
5643
5644 1656, 1079, 0, 1124, 0, 1098, 1663, 1179, 1632, 1079,
5645 1083, 1083, 1083, 1083, 1669, 1083, 1647, 1104, 1179, 1097,
5646 1093, 1083, 1105, 1083, 1083, 1656, 1083, 1083, 1083, 1098,
5647 1083, 1663, 1083, 1083, 1083, 1086, 1086, 1086, 1086, 1669,
5648 1086, 1104, 0, 1127, 1127, 1127, 1127, 1671, 1086, 1086,
5649 1677, 1086, 1086, 1086, 1127, 1086, 0, 1086, 1086, 1086,
5650 1091, 1091, 1091, 1091, 0, 1091, 1248, 1248, 1248, 1248,
5651 0, 1091, 1671, 1091, 1091, 1677, 1091, 1091, 1091, 1600,
5652 1091, 1602, 1091, 1091, 1091, 1100, 1100, 1100, 1100, 1100,
5653 1100, 1100, 1100, 1100, 1100, 1100, 1100, 1100, 1100, 1100,
5654
5655 1100, 1100, 1100, 1600, 1100, 1602, 1100, 1100, 1100, 1100,
5656 1100, 1128, 1128, 1128, 1128, 0, 1160, 1160, 1160, 1160,
5657 1183, 1160, 1128, 1167, 1167, 1167, 1167, 1128, 1191, 1191,
5658 1191, 1191, 1183, 1160, 1100, 1160, 1160, 0, 0, 1167,
5659 1186, 1186, 1186, 1186, 1191, 1183, 1190, 1190, 1190, 1190,
5660 0, 1186, 1276, 1276, 1276, 1276, 1183, 1190, 1100, 1100,
5661 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102,
5662 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102,
5663 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102,
5664 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102,
5665
5666 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102,
5667 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102,
5668 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102, 1102,
5669 1102, 1102, 1102, 1102, 1102, 1109, 1109, 1109, 1109, 1110,
5670 1110, 1110, 1110, 1111, 1111, 1111, 1111, 1197, 1197, 1197,
5671 1197, 1109, 0, 1657, 0, 1110, 1725, 1224, 1197, 1111,
5672 1112, 1112, 1112, 1112, 0, 1117, 1117, 1117, 1117, 1224,
5673 1110, 0, 0, 1498, 1111, 0, 1112, 1657, 1109, 1110,
5674 1725, 1117, 1224, 1111, 1498, 0, 1123, 1123, 1123, 1123,
5675 1684, 1112, 0, 1224, 0, 1110, 1117, 1123, 1498, 1111,
5676
5677 1112, 0, 1109, 1110, 0, 1117, 0, 1111, 1498, 1125,
5678 1125, 1125, 1125, 0, 0, 1684, 1112, 1123, 1369, 1203,
5679 1703, 1117, 1369, 1711, 1112, 1125, 1123, 1203, 1203, 1117,
5680 1126, 1126, 1126, 1126, 0, 1223, 1125, 1129, 1129, 1129,
5681 1129, 1726, 1123, 1369, 1203, 1703, 1126, 1369, 1711, 1223,
5682 1123, 1203, 1203, 1129, 1731, 1126, 1130, 1130, 1130, 1130,
5683 1223, 1125, 0, 1733, 1129, 1726, 1734, 1132, 1132, 1132,
5684 1132, 0, 1130, 1223, 1137, 1137, 1137, 1137, 0, 1731,
5685 1126, 1130, 0, 1132, 1142, 1142, 1142, 1142, 1733, 1129,
5686 1137, 1734, 1784, 0, 1143, 1143, 1143, 1143, 1132, 0,
5687
5688 0, 1146, 1146, 1146, 1146, 1137, 1130, 1132, 1737, 0,
5689 1195, 1195, 1195, 1195, 1137, 1142, 1784, 1146, 1274, 1274,
5690 1274, 1274, 1142, 1132, 1142, 1143, 1195, 1785, 0, 0,
5691 1137, 1132, 1146, 1737, 1143, 1143, 0, 0, 1137, 1274,
5692 1142, 1146, 1151, 1151, 1151, 1151, 1142, 0, 1142, 0,
5693 1143, 1785, 1152, 1152, 1152, 1152, 0, 1146, 1143, 1143,
5694 1738, 1164, 1164, 1164, 1164, 1146, 1739, 0, 0, 1154,
5695 1154, 1154, 1154, 1151, 1153, 1153, 1153, 1153, 0, 0,
5696 1151, 1164, 1151, 1152, 0, 1738, 1164, 0, 1238, 0,
5697 1740, 1739, 1152, 1152, 1201, 1201, 1201, 1201, 1151, 1743,
5698
5699 1154, 0, 1238, 1153, 1151, 1153, 1151, 1757, 1152, 1154,
5700 1201, 1164, 1154, 1238, 1153, 1740, 1152, 1152, 1177, 1177,
5701 1177, 1177, 0, 0, 1743, 1154, 1238, 0, 1153, 1280,
5702 1153, 0, 1757, 1154, 1177, 1800, 1154, 0, 1153, 1155,
5703 1155, 1155, 1155, 1280, 1155, 1799, 1163, 1163, 1163, 1163,
5704 1284, 1177, 1155, 1155, 1280, 1155, 1155, 1155, 0, 1155,
5705 1800, 1155, 1155, 1155, 1284, 0, 1163, 1280, 1155, 1799,
5706 1246, 1246, 1246, 1246, 0, 1284, 1177, 1173, 1173, 1173,
5707 1173, 1249, 1249, 1249, 1249, 1808, 0, 0, 1284, 1163,
5708 1246, 0, 0, 1155, 1158, 1158, 1158, 1158, 1173, 1158,
5709
5710 0, 1249, 1225, 1225, 1225, 1225, 0, 1158, 1158, 1808,
5711 1158, 1158, 1158, 1163, 1158, 1173, 1158, 1158, 1158, 1166,
5712 1166, 1166, 1166, 1166, 1166, 1166, 1166, 1166, 1166, 1166,
5713 1166, 1225, 1807, 1166, 1166, 1166, 1166, 0, 1166, 1173,
5714 1166, 1166, 1166, 1166, 1166, 1810, 1281, 1166, 0, 1166,
5715 1792, 0, 1275, 1275, 1275, 1275, 1225, 1807, 1281, 1792,
5716 1169, 1169, 1169, 1169, 1174, 1174, 1174, 1174, 1166, 1810,
5717 0, 1281, 1166, 1275, 1166, 1792, 1169, 1327, 1327, 1327,
5718 1327, 1275, 1281, 1792, 0, 1174, 0, 1169, 0, 1169,
5719 0, 0, 1166, 1166, 1168, 1168, 1168, 1168, 1168, 1168,
5720
5721 1168, 1168, 1168, 1168, 1168, 1168, 1275, 1174, 1168, 1168,
5722 1168, 1168, 1169, 1168, 1169, 1168, 1168, 1168, 1168, 1168,
5723 1170, 1170, 1170, 1170, 1171, 1171, 1171, 1171, 0, 0,
5724 0, 1174, 0, 1285, 0, 0, 1170, 1813, 0, 1476,
5725 1171, 1476, 0, 1168, 0, 1285, 1386, 1170, 1295, 1170,
5726 1386, 1171, 1535, 1171, 1188, 1188, 1188, 1188, 1285, 1170,
5727 1295, 1813, 1171, 1535, 1476, 1188, 1476, 1168, 1168, 1285,
5728 1188, 1386, 1170, 1295, 1170, 1386, 1171, 1535, 1171, 1329,
5729 1329, 1329, 1329, 1170, 1295, 1188, 1171, 1535, 1189, 1189,
5730 1189, 1189, 0, 0, 1188, 1192, 1192, 1192, 1192, 1189,
5731
5732 1426, 1426, 1426, 1426, 1189, 1818, 1192, 0, 0, 0,
5733 1188, 1192, 0, 0, 1193, 1193, 1193, 1193, 1188, 1189,
5734 1196, 1196, 1196, 1196, 1848, 1193, 1192, 0, 1189, 1818,
5735 1193, 1194, 1194, 1194, 1194, 1192, 1196, 1198, 1198, 1198,
5736 1198, 0, 1194, 1820, 1189, 1193, 0, 1194, 1198, 1848,
5737 1539, 1192, 1189, 1198, 1193, 1357, 1357, 1357, 1357, 1192,
5738 1196, 1539, 1194, 1199, 1199, 1199, 1199, 1820, 1198, 0,
5739 1193, 1194, 0, 0, 1199, 1539, 1357, 1198, 1193, 1199,
5740 1200, 1200, 1200, 1200, 1196, 1539, 0, 1194, 1849, 0,
5741 0, 1200, 0, 1198, 1199, 1194, 1200, 1202, 1202, 1202,
5742
5743 1202, 1198, 1864, 1199, 1857, 0, 1302, 1204, 1204, 1204,
5744 1204, 1200, 1849, 1202, 1205, 1205, 1205, 1205, 1302, 1199,
5745 1200, 1869, 0, 1204, 0, 0, 1864, 1199, 1317, 1857,
5746 1205, 1302, 1326, 1326, 1326, 1326, 1200, 1202, 1204, 0,
5747 1317, 0, 1302, 0, 1200, 1205, 1869, 1204, 1206, 1206,
5748 1206, 1206, 1870, 1317, 1205, 1247, 1247, 1247, 1247, 0,
5749 1247, 1202, 1549, 1204, 1317, 1207, 1207, 1207, 1207, 1326,
5750 1205, 1204, 1247, 1549, 1247, 1247, 1870, 0, 1205, 1206,
5751 0, 1207, 1208, 1208, 1208, 1208, 1872, 1549, 1206, 1250,
5752 1250, 1250, 1250, 1326, 1250, 0, 1207, 1549, 1208, 1209,
5753
5754 1209, 1209, 1209, 1873, 1206, 1207, 1250, 1874, 1250, 1250,
5755 0, 1872, 1206, 1208, 0, 1209, 1289, 1210, 1210, 1210,
5756 1210, 1207, 1208, 1289, 0, 1289, 1877, 1873, 1209, 1207,
5757 1209, 0, 1874, 1210, 1215, 1215, 1215, 1215, 1208, 1209,
5758 1875, 1289, 1576, 1576, 1576, 1576, 1208, 1289, 1210, 1289,
5759 1215, 1877, 0, 1209, 0, 1209, 1210, 1210, 1282, 1282,
5760 1282, 1282, 0, 1209, 1875, 1215, 1216, 1216, 1216, 1216,
5761 0, 0, 0, 1210, 1215, 0, 1217, 1217, 1217, 1217,
5762 1210, 1210, 1216, 1218, 1218, 1218, 1218, 1282, 0, 1878,
5763 1215, 1883, 0, 1219, 1219, 1219, 1219, 1216, 1215, 1218,
5764
5765 1256, 1256, 1256, 1256, 0, 0, 1216, 1217, 0, 1219,
5766 1893, 0, 1282, 1878, 1218, 1883, 1217, 1361, 0, 1367,
5767 1256, 1892, 1216, 1218, 1219, 1220, 1220, 1220, 1220, 1361,
5768 1216, 1367, 1217, 1219, 1893, 1221, 1221, 1221, 1221, 1218,
5769 1217, 1220, 1361, 1256, 1367, 0, 1892, 1218, 1390, 1219,
5770 0, 1221, 1390, 1361, 1220, 1367, 1220, 1219, 1894, 0,
5771 0, 1226, 1226, 1226, 1226, 1220, 1221, 1256, 1286, 1286,
5772 1286, 1286, 0, 1390, 1221, 1221, 1895, 1390, 0, 1220,
5773 0, 1220, 1894, 1227, 1227, 1227, 1227, 0, 0, 1220,
5774 1226, 1221, 1226, 1230, 1230, 1230, 1230, 1286, 1221, 1221,
5775
5776 1895, 1226, 1909, 1231, 1231, 1231, 1231, 0, 0, 1230,
5777 1575, 1575, 1575, 1575, 1227, 1226, 1395, 1226, 1910, 1231,
5778 1395, 1575, 1286, 1227, 1230, 1226, 1227, 1909, 0, 1232,
5779 1232, 1232, 1232, 1230, 1231, 1233, 1233, 1233, 1233, 1227,
5780 1918, 1395, 1910, 1231, 0, 1395, 1919, 1227, 0, 1230,
5781 1227, 1233, 1234, 1234, 1234, 1234, 0, 1230, 1921, 1231,
5782 1232, 1345, 1345, 1345, 1345, 1918, 1233, 1231, 1234, 1232,
5783 1919, 1235, 1235, 1235, 1235, 1233, 1923, 1345, 1236, 1236,
5784 1236, 1236, 1921, 1234, 0, 1232, 1922, 1235, 0, 0,
5785 0, 1233, 1234, 1232, 1236, 1586, 1586, 1586, 1586, 1233,
5786
5787 1235, 1923, 1235, 1358, 1358, 1358, 1358, 0, 1234, 1236,
5788 1922, 1235, 1242, 1242, 1242, 1242, 1234, 1236, 1236, 1241,
5789 1241, 1241, 1241, 0, 1358, 1235, 1939, 1235, 0, 1243,
5790 1243, 1243, 1243, 1924, 1236, 1235, 0, 1350, 1350, 1350,
5791 1350, 1236, 1236, 1242, 1359, 1359, 1359, 1359, 1241, 1846,
5792 1241, 1939, 1242, 1350, 1929, 1242, 1603, 1924, 1846, 1241,
5793 1243, 1244, 1244, 1244, 1244, 1359, 1938, 1603, 1242, 1243,
5794 1243, 0, 0, 1241, 1846, 1241, 1242, 0, 1929, 1242,
5795 0, 1603, 1846, 1241, 0, 1243, 1376, 1376, 1376, 1376,
5796 1938, 1603, 1244, 1243, 1243, 1278, 1278, 1278, 1278, 1940,
5797
5798 0, 1244, 1376, 1257, 1257, 1257, 1257, 1287, 1287, 1287,
5799 1287, 1278, 1400, 0, 1943, 0, 1400, 1244, 1287, 1380,
5800 1380, 1380, 1380, 1287, 1940, 1244, 1245, 1245, 1245, 1245,
5801 1257, 1245, 1257, 0, 1278, 1380, 0, 1400, 1943, 1245,
5802 1245, 1400, 1245, 1245, 1245, 1485, 1245, 1485, 1245, 1245,
5803 1245, 1252, 1252, 1252, 1252, 1257, 1252, 1257, 1278, 1392,
5804 1392, 1392, 1392, 0, 1252, 1252, 0, 1252, 1252, 1252,
5805 1485, 1252, 1485, 1252, 1252, 1252, 1255, 1255, 1255, 1255,
5806 1255, 1255, 1255, 1255, 1255, 1255, 1255, 1255, 1255, 1255,
5807 1255, 1255, 1255, 1255, 0, 1255, 1392, 1255, 1255, 1255,
5808
5809 1255, 1255, 1260, 1260, 1260, 1260, 0, 1262, 1262, 1262,
5810 1262, 1288, 1288, 1288, 1288, 1412, 0, 1944, 1260, 1412,
5811 1392, 1949, 1288, 1262, 1950, 1255, 1416, 1288, 1460, 1962,
5812 1416, 1465, 1460, 1260, 1497, 1465, 1497, 1531, 1262, 1531,
5813 1412, 1944, 1260, 0, 1412, 1949, 0, 1262, 1950, 1255,
5814 1255, 1416, 1951, 1460, 1962, 1416, 1465, 1460, 1260, 1497,
5815 1465, 1497, 1531, 1262, 1531, 0, 1260, 1261, 1261, 1261,
5816 1261, 1262, 1264, 1264, 1264, 1264, 1951, 1265, 1265, 1265,
5817 1265, 0, 1952, 1261, 1268, 1268, 1268, 1268, 1264, 1269,
5818 1269, 1269, 1269, 1265, 1261, 0, 1261, 1466, 1261, 0,
5819
5820 1268, 1466, 1969, 1264, 0, 1269, 1952, 1261, 1265, 1471,
5821 1478, 0, 1264, 1471, 1478, 1268, 0, 1265, 1957, 1261,
5822 1269, 1261, 1466, 1261, 1268, 0, 1466, 1969, 1264, 1269,
5823 0, 1261, 0, 1265, 1471, 1478, 1264, 0, 1471, 1478,
5824 1268, 1265, 1957, 0, 0, 1269, 0, 0, 1268, 1272,
5825 1272, 1272, 1272, 1269, 1270, 1270, 1270, 1270, 0, 1290,
5826 1290, 1290, 1290, 0, 0, 1272, 1439, 1439, 1439, 1439,
5827 1270, 1442, 1442, 1442, 1442, 1290, 1272, 1536, 1272, 1536,
5828 1533, 1270, 1439, 1270, 1533, 1270, 0, 1442, 1290, 1606,
5829 1290, 0, 1272, 1958, 1270, 1447, 1447, 1447, 1447, 1290,
5830
5831 1606, 1272, 1536, 1272, 1536, 1533, 1270, 0, 1270, 1533,
5832 1270, 1447, 1967, 1290, 1606, 1290, 1272, 1958, 1270, 1271,
5833 1271, 1271, 1271, 1290, 1606, 0, 1968, 1291, 1291, 1291,
5834 1291, 1333, 1333, 1333, 1333, 1271, 1967, 1292, 1292, 1292,
5835 1292, 1970, 0, 1291, 2019, 1537, 1271, 2029, 1271, 1537,
5836 1968, 1333, 1997, 1292, 1863, 1863, 1271, 1271, 1291, 0,
5837 1425, 1425, 1425, 1425, 1333, 1970, 1291, 1291, 1292, 2019,
5838 1537, 1271, 2029, 1271, 1537, 0, 1997, 1292, 1863, 1863,
5839 1271, 1271, 0, 1291, 1293, 1293, 1293, 1293, 0, 1333,
5840 1291, 1291, 1998, 1292, 1294, 1294, 1294, 1294, 0, 1425,
5841
5842 1293, 1292, 1296, 1296, 1296, 1296, 1505, 1505, 1505, 1505,
5843 1294, 1299, 1299, 1299, 1299, 1293, 1998, 2011, 1427, 1427,
5844 1427, 1427, 1293, 1425, 1293, 1294, 1505, 1299, 0, 0,
5845 0, 1296, 0, 0, 1294, 1294, 1505, 2033, 1427, 1296,
5846 1293, 2011, 1299, 0, 0, 0, 1293, 0, 1293, 2012,
5847 1294, 1299, 1300, 1300, 1300, 1300, 1296, 1427, 1294, 1294,
5848 2020, 1505, 2033, 1296, 0, 0, 0, 1299, 1300, 1301,
5849 1301, 1301, 1301, 2012, 2038, 1299, 1303, 1303, 1303, 1303,
5850 2021, 1427, 2022, 1300, 2020, 1301, 1305, 1305, 1305, 1305,
5851 1300, 2027, 1300, 0, 2028, 1307, 1307, 1307, 1307, 2038,
5852
5853 1301, 0, 1305, 2030, 2021, 1303, 2022, 2034, 1300, 1301,
5854 1301, 0, 2039, 1303, 1300, 2027, 1300, 1305, 2028, 1339,
5855 1339, 1339, 1339, 1305, 1307, 1301, 1305, 2030, 2040, 0,
5856 1303, 2034, 1307, 1301, 1301, 1339, 2039, 1303, 1306, 1306,
5857 1306, 1306, 1305, 0, 2041, 2045, 1339, 1305, 1339, 1307,
5858 1305, 0, 2046, 2040, 1306, 2050, 1307, 1308, 1308, 1308,
5859 1308, 0, 0, 0, 1309, 1309, 1309, 1309, 2041, 1306,
5860 2045, 1339, 0, 1339, 0, 1306, 2046, 0, 1306, 2050,
5861 1450, 1450, 1450, 1450, 1457, 1457, 1457, 1457, 1308, 0,
5862 1458, 1458, 1458, 1458, 1306, 1309, 1450, 1308, 1308, 1306,
5863
5864 2056, 1309, 1306, 2057, 1309, 1457, 2055, 1312, 1312, 1312,
5865 1312, 1458, 2069, 1308, 1313, 1313, 1313, 1313, 0, 0,
5866 1309, 1308, 1308, 1312, 2056, 1309, 0, 2057, 1309, 0,
5867 1313, 2055, 1404, 1404, 1404, 1404, 1312, 2069, 1312, 0,
5868 0, 1314, 1314, 1314, 1314, 1313, 0, 1312, 1315, 1315,
5869 1315, 1315, 0, 1313, 1313, 0, 2070, 1314, 0, 0,
5870 0, 1312, 2082, 1312, 1315, 1318, 1318, 1318, 1318, 1404,
5871 1313, 1312, 1314, 1590, 1590, 1590, 1590, 1313, 1313, 1315,
5872 2070, 1314, 1316, 1316, 1316, 1316, 1315, 2082, 1315, 1321,
5873 1321, 1321, 1321, 1404, 1318, 0, 2091, 1314, 1316, 0,
5874
5875 2083, 2089, 1318, 2092, 1315, 1314, 1592, 1592, 1592, 1592,
5876 1315, 2099, 1315, 1316, 0, 1407, 1407, 1407, 1407, 1318,
5877 1321, 2091, 1316, 1316, 2083, 2089, 1318, 2092, 0, 1321,
5878 1321, 1322, 1322, 1322, 1322, 0, 2099, 0, 1316, 2100,
5879 2101, 1323, 1323, 1323, 1323, 1321, 1316, 1316, 1324, 1324,
5880 1324, 1324, 1407, 1321, 1321, 0, 2102, 0, 1374, 1374,
5881 1374, 1374, 1322, 2100, 1324, 2101, 2105, 2109, 1322, 1374,
5882 2111, 1322, 1323, 0, 1323, 0, 1407, 0, 0, 1324,
5883 2102, 1323, 2115, 2120, 2127, 1324, 2129, 1322, 1324, 1374,
5884 2105, 2109, 1322, 0, 2111, 1322, 0, 1323, 1374, 1323,
5885
5886 1325, 1325, 1325, 1325, 1324, 1323, 2115, 2120, 2127, 1324,
5887 2149, 2129, 1324, 2170, 1374, 0, 1325, 1354, 1354, 1354,
5888 1354, 2164, 1374, 1340, 1340, 1340, 1340, 1459, 1459, 1459,
5889 1459, 1325, 0, 1354, 2149, 0, 0, 1325, 2170, 1340,
5890 1325, 0, 0, 1459, 1354, 2164, 1354, 1354, 0, 2174,
5891 1340, 2184, 1340, 0, 0, 2191, 1325, 1517, 1517, 1517,
5892 1517, 1325, 1340, 0, 1325, 1328, 1328, 1328, 1328, 1354,
5893 1328, 1354, 1354, 1517, 2174, 1340, 2184, 1340, 1328, 1328,
5894 2191, 1328, 1328, 1328, 2197, 1328, 1340, 1328, 1328, 1328,
5895 1331, 1331, 1331, 1331, 0, 1331, 0, 0, 0, 1577,
5896
5897 1577, 1577, 1577, 1331, 1331, 2175, 1331, 1331, 1331, 2197,
5898 1331, 2183, 1331, 1331, 1331, 1334, 1334, 1334, 1334, 1577,
5899 1353, 1353, 1353, 1353, 2185, 1360, 1360, 1360, 1360, 2175,
5900 2251, 1415, 1415, 1415, 1415, 2183, 1353, 1355, 1355, 1355,
5901 1355, 1360, 1334, 0, 1334, 0, 1334, 1353, 2185, 1353,
5902 0, 0, 0, 1355, 2251, 1334, 1362, 1362, 1362, 1362,
5903 1353, 2199, 0, 1360, 1355, 2205, 1355, 1334, 1415, 1334,
5904 1355, 1334, 1353, 0, 1353, 1596, 1596, 1596, 1596, 1334,
5905 1335, 1335, 1335, 1335, 1353, 1362, 2199, 1360, 2219, 1355,
5906 2205, 1355, 1415, 1362, 2223, 1355, 2224, 2230, 1364, 1364,
5907
5908 1364, 1364, 1365, 1365, 1365, 1365, 0, 1335, 0, 1335,
5909 1362, 1335, 0, 2219, 1364, 0, 2233, 1362, 1365, 2223,
5910 1335, 2224, 2230, 1366, 1366, 1366, 1366, 2258, 2235, 1364,
5911 2244, 1809, 1335, 1365, 1335, 1364, 1335, 1809, 1364, 1365,
5912 1809, 2233, 1365, 0, 1335, 1336, 1336, 1336, 1336, 0,
5913 2250, 2258, 1366, 2235, 1364, 2244, 1809, 2252, 1365, 1364,
5914 1366, 1809, 1364, 1365, 1809, 0, 1365, 1368, 1368, 1368,
5915 1368, 2259, 1336, 2257, 1336, 2250, 1336, 1366, 1524, 1524,
5916 1524, 1524, 2252, 0, 1366, 1336, 0, 1370, 1370, 1370,
5917 1370, 2298, 2264, 0, 1524, 2259, 1368, 1336, 2257, 1336,
5918
5919 2266, 1336, 0, 1370, 1368, 1614, 1614, 1614, 1614, 1336,
5920 1341, 1341, 1341, 1341, 0, 2298, 1614, 2264, 1370, 2267,
5921 0, 1368, 2270, 0, 1370, 2266, 1341, 1370, 1368, 1373,
5922 1373, 1373, 1373, 1418, 1418, 1418, 1418, 1341, 2271, 1341,
5923 1373, 1341, 0, 1370, 2267, 1373, 2272, 2270, 1370, 2306,
5924 1341, 1370, 1371, 1371, 1371, 1371, 1375, 1375, 1375, 1375,
5925 1373, 2273, 1341, 2271, 1341, 0, 1341, 1375, 1371, 1373,
5926 1418, 2272, 1375, 2306, 1341, 1342, 1342, 1342, 1342, 1621,
5927 1621, 1621, 1621, 1371, 2276, 1373, 2273, 1375, 0, 1371,
5928 1621, 1342, 1371, 1373, 1418, 0, 1375, 1486, 1486, 1486,
5929
5930 1486, 0, 1342, 2291, 1342, 2295, 1342, 2296, 1371, 2276,
5931 0, 0, 1375, 1371, 0, 1342, 1371, 0, 0, 2309,
5932 1375, 0, 1377, 1377, 1377, 1377, 1486, 1342, 2291, 1342,
5933 2295, 1342, 2296, 1377, 1378, 1378, 1378, 1378, 1377, 1342,
5934 1372, 1372, 1372, 1372, 2309, 1378, 1534, 1534, 1534, 1534,
5935 0, 1486, 2310, 1377, 2320, 0, 2329, 1379, 1379, 1379,
5936 1379, 0, 1377, 2332, 2334, 1378, 0, 2333, 1379, 1372,
5937 2341, 1372, 0, 1379, 1378, 1534, 2310, 1372, 1377, 2320,
5938 1372, 2329, 1422, 1422, 1422, 1422, 1377, 2332, 1379, 2334,
5939 1378, 2333, 0, 0, 1372, 2341, 1372, 1379, 1378, 2342,
5940
5941 1534, 1372, 0, 0, 1372, 1381, 1381, 1381, 1381, 1382,
5942 1382, 1382, 1382, 1379, 2344, 1588, 1588, 1588, 1588, 1422,
5943 2347, 1379, 2352, 2342, 0, 1382, 1383, 1383, 1383, 1383,
5944 0, 1588, 1385, 1385, 1385, 1385, 1381, 2382, 2344, 2447,
5945 1382, 0, 1383, 1422, 2347, 1381, 2352, 1382, 1385, 1382,
5946 2354, 0, 1384, 1384, 1384, 1384, 1588, 1383, 0, 0,
5947 0, 1381, 2382, 1385, 2447, 1382, 1383, 1383, 1384, 1381,
5948 2383, 1382, 1385, 1382, 2354, 1385, 1389, 1389, 1389, 1389,
5949 1588, 1384, 1383, 1384, 1387, 1387, 1387, 1387, 1385, 2343,
5950 1383, 1383, 1384, 2464, 2383, 2343, 1385, 0, 2343, 1385,
5951
5952 1387, 1388, 1388, 1388, 1388, 1389, 1384, 2392, 1384, 1628,
5953 1628, 1628, 1628, 1389, 2343, 1387, 1384, 1388, 2464, 2343,
5954 1628, 1387, 2343, 2396, 1387, 0, 1515, 1515, 1515, 1515,
5955 1389, 2392, 1388, 1394, 1394, 1394, 1394, 1389, 1388, 2436,
5956 1387, 1388, 1515, 2438, 0, 1387, 0, 2396, 1387, 1394,
5957 1393, 1393, 1393, 1393, 2448, 1515, 0, 1388, 1469, 1469,
5958 1469, 1469, 1388, 2436, 1394, 1388, 1393, 2438, 1396, 1396,
5959 1396, 1396, 2449, 1394, 0, 0, 1394, 0, 2448, 1393,
5960 1515, 1393, 0, 0, 1396, 1397, 1397, 1397, 1397, 1394,
5961 1393, 1398, 1398, 1398, 1398, 1469, 2449, 1394, 2380, 1396,
5962
5963 1394, 1397, 2450, 2465, 1393, 1396, 1393, 2380, 1396, 0,
5964 1507, 1507, 1507, 1507, 1393, 0, 1397, 2473, 0, 1469,
5965 1398, 0, 1397, 2380, 1396, 1397, 2450, 2465, 1398, 1396,
5966 1507, 2380, 1396, 1401, 1401, 1401, 1401, 1402, 1402, 1402,
5967 1402, 1397, 2473, 2474, 2478, 1398, 1397, 0, 1507, 1397,
5968 0, 2476, 1398, 1402, 2477, 1403, 1403, 1403, 1403, 1405,
5969 1405, 1405, 1405, 2479, 1401, 0, 1401, 2474, 1402, 2478,
5970 2521, 1403, 1507, 1401, 1402, 2476, 2522, 1402, 2477, 0,
5971 1481, 1481, 1481, 1481, 1405, 2516, 1403, 2479, 2523, 1401,
5972 1405, 1401, 1403, 1402, 2521, 1403, 2524, 1401, 1402, 1405,
5973
5974 2522, 1402, 1408, 1408, 1408, 1408, 0, 0, 2551, 1405,
5975 2516, 1403, 0, 2523, 2552, 1405, 1403, 1481, 1408, 1403,
5976 2524, 2565, 0, 1405, 1492, 1492, 1492, 1492, 1409, 1409,
5977 1409, 1409, 2551, 1408, 1410, 1410, 1410, 1410, 2552, 0,
5978 1408, 1481, 1408, 2566, 1409, 2565, 1411, 1411, 1411, 1411,
5979 1410, 2573, 0, 0, 1522, 1522, 1522, 1522, 1408, 1409,
5980 0, 1492, 1411, 1410, 1408, 1410, 1408, 2566, 1409, 1409,
5981 1522, 2574, 2575, 2576, 1410, 2601, 2573, 1411, 1413, 1413,
5982 1413, 1413, 0, 1522, 1409, 1492, 1411, 2606, 1410, 1411,
5983 1410, 2620, 1409, 1409, 1413, 2574, 2575, 2576, 1410, 2601,
5984
5985 0, 2607, 1411, 0, 1414, 1414, 1414, 1414, 1522, 1413,
5986 1411, 2633, 2606, 1411, 2608, 1413, 2620, 0, 1413, 0,
5987 1414, 1419, 1419, 1419, 1419, 2607, 2642, 1420, 1420, 1420,
5988 1420, 2621, 2634, 2640, 1413, 1414, 2633, 0, 2608, 1413,
5989 0, 1414, 1413, 1420, 1414, 0, 0, 1421, 1421, 1421,
5990 1421, 2642, 1419, 0, 1419, 2621, 2634, 2640, 1420, 0,
5991 1414, 1419, 2643, 1421, 1420, 1414, 2652, 1420, 1414, 1423,
5992 1423, 1423, 1423, 1424, 1424, 1424, 1424, 1419, 1421, 1419,
5993 0, 2659, 2661, 1420, 1421, 1419, 2643, 1421, 1420, 0,
5994 2652, 1420, 1428, 1428, 1428, 1428, 1423, 2702, 1424, 2706,
5995
5996 1423, 0, 2681, 1421, 1424, 2659, 2696, 2661, 1421, 1423,
5997 2728, 1421, 1428, 1424, 1431, 1431, 1431, 1431, 0, 0,
5998 0, 1423, 2702, 1424, 2706, 1423, 2681, 0, 0, 1424,
5999 2696, 1428, 0, 1423, 1431, 2728, 2707, 1424, 1648, 1648,
6000 1648, 1648, 1538, 1538, 1538, 1538, 0, 0, 0, 1500,
6001 1500, 1500, 1500, 1431, 0, 1428, 1429, 1429, 1429, 1429,
6002 2707, 1429, 0, 0, 0, 1435, 1435, 1435, 1435, 1429,
6003 1429, 1538, 1429, 1429, 1429, 0, 1429, 1431, 1429, 1429,
6004 1429, 1435, 1436, 1436, 1436, 1436, 1500, 1620, 1620, 1620,
6005 1620, 2732, 1435, 2733, 1435, 0, 1538, 2739, 1436, 1440,
6006
6007 1440, 1440, 1440, 1435, 1441, 1441, 1441, 1441, 2742, 1436,
6008 1500, 1436, 2744, 2753, 0, 1440, 2732, 1435, 2733, 1435,
6009 1441, 2759, 2739, 1436, 1620, 2760, 0, 1435, 0, 2761,
6010 1440, 0, 2767, 2742, 1436, 1441, 1436, 2744, 2753, 1440,
6011 1448, 1448, 1448, 1448, 1441, 2771, 2759, 1436, 1620, 2760,
6012 1449, 1449, 1449, 1449, 2761, 1440, 1448, 2767, 0, 0,
6013 1441, 2772, 2785, 1440, 0, 2774, 1449, 2782, 1441, 2786,
6014 2771, 1448, 1453, 1453, 1453, 1453, 1454, 1454, 1454, 1454,
6015 1448, 1449, 1461, 1461, 1461, 1461, 2772, 2785, 1453, 2774,
6016 1449, 2782, 1454, 2786, 0, 2796, 1448, 2809, 1461, 1453,
6017
6018 2805, 1453, 0, 1454, 1448, 1454, 1449, 0, 2813, 1454,
6019 0, 1453, 0, 1461, 1449, 1455, 1455, 1455, 1455, 1461,
6020 2796, 2809, 1461, 0, 1453, 2805, 1453, 0, 1454, 0,
6021 1454, 1455, 2813, 0, 1454, 1453, 0, 0, 1461, 0,
6022 0, 0, 1455, 1461, 1455, 0, 1461, 1462, 1462, 1462,
6023 1462, 1463, 1463, 1463, 1463, 0, 0, 0, 1455, 1467,
6024 1467, 1467, 1467, 1462, 0, 0, 0, 1455, 0, 1455,
6025 1468, 1468, 1468, 1468, 0, 1467, 0, 0, 1462, 0,
6026 1463, 0, 1455, 0, 1462, 0, 1468, 1462, 1463, 0,
6027 1467, 1631, 1631, 1631, 1631, 0, 1467, 0, 0, 1467,
6028
6029 0, 1468, 1631, 1462, 0, 1463, 0, 1468, 1462, 0,
6030 1468, 1462, 1463, 0, 0, 1467, 1472, 1472, 1472, 1472,
6031 1467, 0, 0, 1467, 0, 0, 1468, 1587, 1587, 1587,
6032 1587, 1468, 1472, 0, 1468, 1473, 1473, 1473, 1473, 1646,
6033 1646, 1646, 1646, 1587, 0, 1472, 0, 1472, 0, 0,
6034 1646, 1473, 1474, 1474, 1474, 1474, 1472, 1475, 1475, 1475,
6035 1475, 1633, 1633, 1633, 1633, 1587, 1473, 0, 1474, 0,
6036 1472, 0, 1472, 1475, 0, 1473, 0, 0, 1473, 0,
6037 1472, 0, 0, 1474, 1483, 1483, 1483, 1483, 1475, 1587,
6038 0, 1473, 1474, 1474, 1475, 0, 0, 1475, 1633, 1473,
6039
6040 1483, 0, 1473, 0, 1484, 1484, 1484, 1484, 1474, 1658,
6041 1658, 1658, 1658, 1475, 0, 1483, 1474, 1474, 1475, 0,
6042 1484, 1475, 1633, 0, 1483, 1483, 1488, 1488, 1488, 1488,
6043 1489, 1489, 1489, 1489, 0, 1484, 1490, 1490, 1490, 1490,
6044 1483, 1484, 0, 0, 1484, 0, 0, 0, 1483, 1483,
6045 0, 0, 0, 1488, 0, 1489, 0, 1488, 0, 0,
6046 1484, 1489, 0, 0, 0, 1484, 1488, 1490, 1484, 0,
6047 1489, 0, 1493, 1493, 1493, 1493, 1490, 0, 1488, 0,
6048 1489, 0, 1488, 0, 0, 0, 1489, 0, 1493, 0,
6049 1488, 0, 1490, 0, 1489, 1812, 1812, 1812, 1812, 0,
6050
6051 1490, 1493, 0, 1493, 1494, 1494, 1494, 1494, 1495, 1495,
6052 1495, 1495, 1493, 0, 1496, 1496, 1496, 1496, 0, 0,
6053 1494, 0, 0, 0, 1495, 0, 1493, 0, 1493, 0,
6054 1496, 1685, 1685, 1685, 1685, 1494, 1493, 0, 0, 1495,
6055 0, 0, 1685, 0, 1494, 1496, 0, 1494, 1495, 1495,
6056 0, 1496, 0, 0, 1496, 1501, 1501, 1501, 1501, 0,
6057 1494, 0, 0, 0, 1495, 0, 0, 0, 1494, 0,
6058 1496, 1494, 1495, 1495, 0, 1496, 0, 0, 1496, 0,
6059 0, 0, 1501, 0, 0, 0, 1501, 1502, 1502, 1502,
6060 1502, 1503, 1503, 1503, 1503, 1501, 1511, 1511, 1511, 1511,
6061
6062 0, 1593, 1593, 1593, 1593, 0, 0, 1501, 0, 0,
6063 0, 1501, 1511, 1512, 1512, 1512, 1512, 1593, 1502, 1501,
6064 0, 0, 1503, 1511, 0, 1511, 0, 1502, 0, 1512,
6065 0, 1503, 0, 1516, 1516, 1516, 1516, 0, 0, 1593,
6066 1512, 0, 1512, 1502, 0, 0, 0, 1503, 1511, 1516,
6067 1511, 1502, 0, 0, 0, 1503, 1512, 0, 1523, 1523,
6068 1523, 1523, 0, 1593, 0, 1512, 0, 1512, 1698, 1698,
6069 1698, 1698, 1516, 0, 1523, 1527, 1527, 1527, 1527, 1698,
6070 1512, 0, 1618, 1618, 1618, 1618, 0, 1528, 1528, 1528,
6071 1528, 1527, 0, 1618, 0, 0, 1516, 1523, 1817, 1817,
6072
6073 1817, 1817, 1527, 1528, 1527, 0, 1529, 1529, 1529, 1529,
6074 0, 1618, 0, 1527, 1528, 0, 1528, 0, 1528, 0,
6075 0, 1523, 1529, 1705, 1705, 1705, 1705, 1527, 0, 1527,
6076 0, 0, 0, 1529, 1705, 1529, 1618, 1527, 0, 1528,
6077 0, 1528, 0, 1528, 0, 1540, 1540, 1540, 1540, 1819,
6078 1819, 1819, 1819, 1529, 1824, 1824, 1824, 1824, 1529, 0,
6079 1529, 1540, 1541, 1541, 1541, 1541, 0, 0, 1584, 1584,
6080 1584, 1584, 1542, 1542, 1542, 1542, 1540, 1529, 1541, 0,
6081 1574, 1574, 1574, 1574, 1584, 1540, 1540, 0, 1542, 0,
6082 0, 1574, 0, 1541, 0, 1584, 0, 1584, 0, 1541,
6083
6084 0, 1540, 1541, 1542, 0, 1542, 0, 0, 0, 1540,
6085 1540, 0, 1542, 1543, 1543, 1543, 1543, 1574, 1541, 1574,
6086 1584, 0, 1584, 1541, 0, 0, 1541, 0, 1542, 1543,
6087 1542, 1544, 1544, 1544, 1544, 0, 1542, 1882, 1882, 1882,
6088 1882, 1574, 0, 1574, 1543, 1545, 1545, 1545, 1545, 0,
6089 1543, 0, 0, 1543, 1547, 1547, 1547, 1547, 0, 0,
6090 0, 1545, 1544, 1546, 1546, 1546, 1546, 0, 1544, 1543,
6091 0, 1544, 0, 0, 1543, 0, 1545, 1543, 0, 1546,
6092 0, 0, 1545, 1547, 0, 1545, 0, 1544, 1546, 0,
6093 0, 1547, 1544, 0, 1546, 1544, 1550, 1550, 1550, 1550,
6094
6095 0, 1545, 0, 1546, 0, 0, 1545, 1550, 1547, 1545,
6096 0, 0, 0, 1546, 0, 1547, 0, 0, 0, 1546,
6097 1553, 1553, 1553, 1553, 0, 1550, 0, 1546, 0, 0,
6098 1554, 1554, 1554, 1554, 0, 1550, 1553, 1555, 1555, 1555,
6099 1555, 0, 1594, 1594, 1594, 1594, 1554, 0, 0, 0,
6100 1550, 1553, 0, 1553, 2058, 2058, 2058, 2058, 1594, 1550,
6101 1553, 1554, 0, 1558, 1558, 1558, 1558, 1554, 1555, 0,
6102 1554, 0, 0, 0, 1555, 0, 1553, 1555, 1553, 1556,
6103 1556, 1556, 1556, 1594, 1553, 0, 1554, 2106, 2106, 2106,
6104 2106, 1554, 1558, 1555, 1554, 1556, 0, 0, 1555, 0,
6105
6106 1558, 1555, 1557, 1557, 1557, 1557, 0, 1594, 0, 0,
6107 1556, 0, 1649, 1649, 1649, 1649, 1556, 1558, 1557, 1556,
6108 1559, 1559, 1559, 1559, 1558, 0, 0, 1557, 0, 0,
6109 0, 1559, 1649, 1557, 0, 1556, 1560, 1560, 1560, 1560,
6110 1556, 0, 1557, 1556, 0, 1643, 1643, 1643, 1643, 1559,
6111 0, 0, 1557, 1561, 1561, 1561, 1561, 0, 1557, 1559,
6112 0, 1642, 1642, 1642, 1642, 0, 1557, 1560, 1562, 1562,
6113 1562, 1562, 1642, 1560, 1559, 0, 1560, 0, 0, 1562,
6114 0, 0, 1643, 1559, 1561, 1564, 1564, 1564, 1564, 0,
6115 0, 0, 1560, 1561, 0, 0, 0, 1560, 1642, 1562,
6116
6117 1560, 1564, 1565, 1565, 1565, 1565, 1643, 1562, 1562, 1561,
6118 0, 0, 1566, 1566, 1566, 1566, 1564, 1561, 1565, 0,
6119 0, 0, 1642, 0, 1562, 1564, 1564, 0, 1566, 0,
6120 0, 1562, 1562, 1565, 0, 1626, 1626, 1626, 1626, 1565,
6121 0, 1564, 1565, 1566, 0, 1566, 1626, 0, 0, 1564,
6122 1564, 0, 1566, 1567, 1567, 1567, 1567, 0, 1565, 1652,
6123 1652, 1652, 1652, 1565, 1626, 0, 1565, 0, 1566, 1567,
6124 1566, 1568, 1568, 1568, 1568, 0, 1566, 0, 0, 1652,
6125 1710, 1710, 1710, 1710, 1567, 1569, 1569, 1569, 1569, 1626,
6126 1567, 1710, 0, 1567, 1571, 1571, 1571, 1571, 0, 0,
6127
6128 0, 1569, 1568, 1570, 1570, 1570, 1570, 0, 1568, 1567,
6129 0, 1568, 0, 0, 1567, 0, 1569, 1567, 0, 1570,
6130 0, 0, 1569, 1571, 0, 1569, 0, 1568, 1570, 0,
6131 0, 1571, 1568, 0, 1570, 1568, 1572, 1572, 1572, 1572,
6132 0, 1569, 0, 1570, 0, 0, 1569, 1572, 1571, 1569,
6133 0, 0, 0, 1570, 0, 1571, 0, 0, 0, 1570,
6134 0, 1650, 1650, 1650, 1650, 1572, 1650, 1570, 0, 0,
6135 0, 1720, 1720, 1720, 1720, 1572, 0, 0, 1650, 0,
6136 1650, 1650, 0, 1670, 1670, 1670, 1670, 1720, 0, 0,
6137 1572, 2110, 2110, 2110, 2110, 2112, 2112, 2112, 2112, 1572,
6138
6139 1579, 1579, 1579, 1579, 1579, 1579, 1579, 1579, 1579, 1579,
6140 1579, 1579, 1579, 1579, 1579, 1579, 1579, 1579, 0, 1579,
6141 1670, 1579, 1579, 1579, 1579, 1579, 1583, 1583, 1583, 1583,
6142 1597, 1597, 1597, 1597, 0, 1598, 1598, 1598, 1598, 0,
6143 0, 0, 1583, 0, 1670, 0, 1597, 0, 0, 1579,
6144 0, 1598, 0, 1583, 0, 1583, 0, 1597, 0, 1597,
6145 0, 0, 1598, 0, 1598, 0, 0, 1583, 0, 1599,
6146 1599, 1599, 1599, 1579, 1579, 0, 0, 0, 1583, 1598,
6147 1583, 0, 1597, 0, 1597, 1599, 0, 1598, 0, 1598,
6148 0, 1583, 2116, 2116, 2116, 2116, 1599, 0, 1599, 1601,
6149
6150 1601, 1601, 1601, 1598, 1604, 1604, 1604, 1604, 1605, 1605,
6151 1605, 1605, 0, 0, 0, 1604, 1608, 1608, 1608, 1608,
6152 0, 1599, 0, 1599, 1758, 1758, 1758, 1758, 1601, 0,
6153 0, 0, 1608, 1604, 0, 1758, 1601, 1605, 0, 1607,
6154 1607, 1607, 1607, 1604, 0, 1605, 0, 1608, 0, 1608,
6155 1607, 0, 0, 1601, 0, 0, 1608, 0, 1604, 0,
6156 1601, 0, 1605, 1609, 1609, 1609, 1609, 1604, 1607, 1605,
6157 0, 0, 1608, 0, 1608, 0, 0, 0, 1607, 1609,
6158 1608, 1610, 1610, 1610, 1610, 1611, 1611, 1611, 1611, 2186,
6159 2186, 2186, 2186, 1607, 1609, 0, 1678, 1678, 1678, 1678,
6160
6161 1609, 1611, 1607, 1609, 1612, 1612, 1612, 1612, 2212, 2212,
6162 2212, 2212, 1610, 0, 0, 0, 1611, 0, 1610, 1609,
6163 1612, 1610, 1611, 0, 1609, 1611, 0, 1609, 0, 0,
6164 0, 1612, 0, 1678, 0, 1612, 0, 1610, 0, 0,
6165 0, 1611, 1610, 0, 1612, 1610, 1611, 0, 0, 1611,
6166 1613, 1613, 1613, 1613, 0, 0, 1612, 1678, 0, 0,
6167 1612, 0, 1615, 1615, 1615, 1615, 1613, 0, 1612, 2297,
6168 2297, 2297, 2297, 1615, 0, 0, 0, 1616, 1616, 1616,
6169 1616, 1613, 0, 0, 1617, 1617, 1617, 1617, 1616, 0,
6170 1613, 1615, 0, 1616, 0, 1617, 1623, 1623, 1623, 1623,
6171
6172 1617, 1615, 1896, 1896, 1896, 1896, 1613, 0, 1616, 0,
6173 0, 0, 1623, 1896, 1613, 1617, 1615, 1616, 1622, 1622,
6174 1622, 1622, 0, 0, 1617, 1615, 0, 1623, 1624, 1624,
6175 1624, 1624, 0, 1616, 1622, 0, 1623, 0, 0, 1624,
6176 1617, 1616, 0, 0, 1624, 1622, 0, 0, 1617, 1622,
6177 0, 0, 1623, 1736, 1736, 1736, 1736, 0, 1622, 1624,
6178 1623, 0, 1625, 1625, 1625, 1625, 0, 0, 1624, 1736,
6179 1622, 0, 0, 1625, 1622, 0, 0, 0, 1625, 0,
6180 0, 0, 1622, 0, 1624, 1629, 1629, 1629, 1629, 0,
6181 0, 0, 1624, 1625, 0, 0, 1629, 1630, 1630, 1630,
6182
6183 1630, 1629, 1625, 1693, 1693, 1693, 1693, 0, 1630, 2090,
6184 2090, 2090, 2090, 1630, 1693, 0, 1629, 0, 1625, 1634,
6185 1634, 1634, 1634, 0, 0, 1629, 1625, 0, 1630, 1635,
6186 1635, 1635, 1635, 0, 0, 1634, 0, 1630, 0, 0,
6187 1693, 1629, 0, 0, 0, 1635, 2090, 0, 0, 1629,
6188 1634, 0, 1634, 1630, 0, 1636, 1636, 1636, 1636, 1634,
6189 1635, 1630, 0, 0, 1693, 0, 1635, 0, 0, 1635,
6190 2090, 1667, 1667, 1667, 1667, 1634, 0, 1634, 1637, 1637,
6191 1637, 1637, 0, 1634, 0, 1635, 1636, 1667, 0, 0,
6192 1635, 0, 1636, 1635, 1637, 1636, 1638, 1638, 1638, 1638,
6193
6194 1667, 1639, 1639, 1639, 1639, 1742, 1742, 1742, 1742, 1637,
6195 0, 1636, 1638, 0, 0, 1637, 1636, 1639, 1637, 1636,
6196 0, 1742, 0, 1638, 0, 1667, 0, 1638, 2346, 2346,
6197 2346, 2346, 1639, 0, 1637, 0, 1638, 0, 0, 1637,
6198 0, 1639, 1637, 1640, 1640, 1640, 1640, 0, 1638, 0,
6199 0, 0, 1638, 0, 1640, 0, 0, 1639, 0, 1640,
6200 1638, 1641, 1641, 1641, 1641, 1639, 1660, 1660, 1660, 1660,
6201 0, 0, 1641, 0, 1640, 0, 0, 1641, 1644, 1644,
6202 1644, 1644, 1660, 1640, 1645, 1645, 1645, 1645, 0, 1644,
6203 0, 0, 1641, 0, 1644, 1645, 0, 1660, 0, 1640,
6204
6205 1645, 1641, 0, 1660, 0, 0, 1660, 1640, 0, 1644,
6206 1871, 1871, 1871, 1871, 0, 1645, 0, 1641, 1644, 0,
6207 0, 0, 1660, 0, 1645, 1641, 1871, 1660, 0, 0,
6208 1660, 0, 0, 0, 1644, 2351, 2351, 2351, 2351, 0,
6209 1645, 0, 1644, 0, 1666, 1666, 1666, 1666, 1645, 1653,
6210 1653, 1653, 1653, 1653, 1653, 1653, 1653, 1653, 1653, 1653,
6211 1653, 1653, 1653, 1653, 1653, 1653, 1653, 0, 1653, 0,
6212 1653, 1653, 1653, 1653, 1653, 1666, 1876, 1876, 1876, 1876,
6213 0, 1666, 0, 0, 1666, 1898, 1898, 1898, 1898, 0,
6214 0, 0, 1876, 0, 0, 0, 1898, 0, 1653, 0,
6215
6216 1666, 1901, 1901, 1901, 1901, 1666, 0, 0, 1666, 0,
6217 0, 0, 1901, 2353, 2353, 2353, 2353, 2358, 2358, 2358,
6218 2358, 0, 1653, 1653, 1655, 1655, 1655, 1655, 1655, 1655,
6219 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655,
6220 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655,
6221 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655,
6222 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655,
6223 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655,
6224 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655,
6225 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1655, 1659,
6226
6227 1659, 1659, 1659, 1661, 1661, 1661, 1661, 1902, 1902, 1902,
6228 1902, 1668, 1668, 1668, 1668, 1659, 0, 0, 1902, 1661,
6229 0, 1664, 1664, 1664, 1664, 0, 1659, 1668, 1659, 0,
6230 0, 0, 1659, 0, 1661, 0, 0, 1664, 0, 0,
6231 1661, 0, 0, 1661, 0, 0, 0, 1675, 1675, 1675,
6232 1675, 1659, 1664, 1659, 1668, 0, 0, 1659, 1664, 1661,
6233 0, 1664, 0, 1675, 1661, 0, 0, 1661, 1662, 1662,
6234 1662, 1662, 1665, 1665, 1665, 1665, 1675, 1664, 1668, 0,
6235 0, 0, 1664, 0, 1662, 1664, 0, 0, 1665, 0,
6236 1672, 1672, 1672, 1672, 0, 1662, 0, 1662, 0, 1662,
6237
6238 0, 1675, 0, 1665, 0, 1662, 1672, 0, 1662, 1665,
6239 0, 0, 1665, 0, 0, 1676, 1676, 1676, 1676, 0,
6240 1662, 1672, 1662, 0, 1662, 0, 0, 1672, 1665, 1662,
6241 1672, 1676, 1662, 1665, 0, 0, 1665, 1673, 1673, 1673,
6242 1673, 1674, 1674, 1674, 1674, 0, 1672, 2437, 2437, 2437,
6243 2437, 1672, 0, 1673, 1672, 0, 0, 0, 1676, 1689,
6244 1689, 1689, 1689, 1680, 1680, 1680, 1680, 0, 1673, 0,
6245 1689, 0, 1674, 0, 1673, 0, 0, 1673, 1674, 1680,
6246 0, 1674, 1676, 1679, 1679, 1679, 1679, 0, 1689, 0,
6247 1680, 0, 1680, 1673, 0, 0, 0, 1674, 1673, 1679,
6248
6249 0, 1673, 1674, 0, 1680, 1674, 1945, 1945, 1945, 1945,
6250 1679, 0, 1679, 1689, 0, 1680, 0, 1680, 0, 0,
6251 0, 1679, 1945, 1735, 1735, 1735, 1735, 0, 1680, 1702,
6252 1702, 1702, 1702, 0, 0, 1679, 0, 1679, 0, 1735,
6253 1702, 2609, 2609, 2609, 2609, 1679, 1681, 1681, 1681, 1681,
6254 1681, 1681, 1681, 1681, 1681, 1681, 1681, 1681, 1702, 0,
6255 1681, 1681, 1681, 1681, 1735, 1681, 0, 1681, 1681, 1681,
6256 1681, 1681, 0, 0, 1741, 1741, 1741, 1741, 1681, 2721,
6257 2721, 2721, 2721, 1702, 0, 0, 0, 1681, 1735, 0,
6258 1741, 1971, 1971, 1971, 1971, 1681, 1948, 1948, 1948, 1948,
6259
6260 0, 0, 1971, 1681, 0, 1761, 1761, 1761, 1761, 0,
6261 0, 1681, 1948, 0, 0, 1741, 1761, 0, 0, 1681,
6262 1681, 1682, 1682, 1682, 1682, 1682, 1682, 1682, 1682, 1682,
6263 1682, 1682, 1682, 0, 1761, 1682, 1682, 1682, 1682, 1741,
6264 1682, 0, 1682, 1682, 1682, 1682, 1682, 1953, 1953, 1953,
6265 1953, 0, 0, 1682, 0, 1956, 1956, 1956, 1956, 1761,
6266 0, 0, 1682, 1953, 1980, 1980, 1980, 1980, 0, 0,
6267 1682, 1956, 1982, 1982, 1982, 1982, 0, 0, 1682, 0,
6268 1980, 0, 0, 1982, 0, 0, 1682, 2773, 2773, 2773,
6269 2773, 0, 0, 0, 1682, 1682, 1683, 1683, 1683, 1683,
6270
6271 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683,
6272 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683,
6273 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683,
6274 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683,
6275 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683,
6276 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683,
6277 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683, 1683,
6278 1683, 1686, 1686, 1686, 1686, 1687, 1687, 1687, 1687, 0,
6279 0, 0, 1686, 0, 0, 0, 1687, 1688, 1688, 1688,
6280 1688, 1687, 0, 1690, 1690, 1690, 1690, 0, 1688, 0,
6281
6282 1686, 0, 0, 1688, 1690, 0, 1687, 0, 0, 0,
6283 1686, 0, 0, 0, 0, 1687, 0, 0, 1688, 0,
6284 0, 0, 1690, 0, 0, 1686, 0, 1688, 0, 0,
6285 0, 1687, 1690, 0, 1686, 2403, 2403, 2403, 2403, 1687,
6286 0, 0, 0, 1688, 0, 0, 2403, 1690, 0, 0,
6287 0, 1688, 1691, 1691, 1691, 1691, 1690, 0, 1692, 1692,
6288 1692, 1692, 0, 1691, 1981, 1981, 1981, 1981, 1691, 1692,
6289 1695, 1695, 1695, 1695, 1692, 1694, 1694, 1694, 1694, 0,
6290 1981, 0, 0, 1691, 0, 0, 1695, 0, 0, 1692,
6291 0, 1694, 1691, 0, 0, 1695, 0, 0, 1692, 0,
6292
6293 0, 1695, 1694, 0, 1981, 0, 1694, 0, 1691, 0,
6294 1695, 0, 0, 0, 1692, 1694, 1691, 0, 0, 0,
6295 1695, 0, 1692, 0, 0, 0, 1695, 1694, 1981, 0,
6296 0, 1694, 0, 0, 1695, 1696, 1696, 1696, 1696, 1694,
6297 0, 0, 1700, 1700, 1700, 1700, 1986, 1986, 1986, 1986,
6298 0, 1696, 0, 1700, 1701, 1701, 1701, 1701, 1700, 0,
6299 0, 0, 1986, 0, 0, 1701, 1696, 0, 0, 0,
6300 1701, 0, 1696, 1700, 0, 1696, 0, 1706, 1706, 1706,
6301 1706, 0, 1700, 0, 0, 1701, 1764, 1764, 1764, 1764,
6302 0, 1696, 0, 1706, 1701, 0, 1696, 1764, 1700, 1696,
6303
6304 1697, 1697, 1697, 1697, 0, 0, 1700, 0, 1706, 0,
6305 1701, 1697, 0, 0, 1706, 0, 1697, 1706, 1701, 1708,
6306 1708, 1708, 1708, 1764, 0, 2410, 2410, 2410, 2410, 0,
6307 1708, 1697, 0, 1706, 0, 1708, 2410, 0, 1706, 1697,
6308 1697, 1706, 1709, 1709, 1709, 1709, 0, 1764, 0, 0,
6309 1708, 0, 0, 1709, 0, 0, 1697, 0, 1709, 1708,
6310 0, 0, 0, 1697, 1697, 1707, 1707, 1707, 1707, 0,
6311 0, 0, 0, 1709, 0, 1708, 1707, 1713, 1713, 1713,
6312 1713, 1707, 1709, 1708, 1712, 1712, 1712, 1712, 1975, 1975,
6313 1975, 1975, 0, 1713, 0, 0, 1707, 0, 1709, 1975,
6314
6315 1712, 0, 1713, 0, 1707, 1707, 1709, 0, 1713, 0,
6316 0, 1712, 0, 0, 0, 1712, 0, 1713, 0, 0,
6317 0, 1707, 0, 0, 1712, 1975, 0, 1713, 1707, 1707,
6318 0, 0, 0, 1713, 0, 0, 1712, 0, 0, 0,
6319 1712, 1713, 1714, 1714, 1714, 1714, 0, 0, 1712, 1975,
6320 0, 0, 0, 0, 1814, 1814, 1814, 1814, 1714, 1722,
6321 1722, 1722, 1722, 0, 0, 1723, 1723, 1723, 1723, 0,
6322 1814, 0, 0, 1714, 0, 1722, 0, 0, 0, 1714,
6323 0, 1723, 1714, 0, 0, 0, 1722, 1814, 1722, 0,
6324 0, 0, 1723, 0, 1723, 0, 0, 0, 1714, 2037,
6325
6326 2037, 2037, 2037, 1714, 1723, 0, 1714, 1715, 1715, 1715,
6327 1715, 1722, 1814, 1722, 0, 2037, 0, 1723, 1715, 1723,
6328 0, 0, 0, 1715, 1730, 1730, 1730, 1730, 1723, 1724,
6329 1724, 1724, 1724, 0, 1900, 1900, 1900, 1900, 1715, 0,
6330 1730, 1899, 1899, 1899, 1899, 1724, 1715, 1715, 0, 0,
6331 1900, 1730, 0, 1730, 0, 0, 1724, 1899, 1724, 1900,
6332 0, 0, 0, 1715, 0, 0, 0, 1724, 1899, 0,
6333 1715, 1715, 1717, 1717, 1717, 1717, 1730, 1717, 1730, 0,
6334 0, 1724, 0, 1724, 1900, 1717, 1717, 0, 1717, 1717,
6335 1717, 1724, 1717, 1899, 1717, 1717, 1717, 1719, 1719, 1719,
6336
6337 1719, 1719, 1719, 1719, 1719, 1719, 1719, 1719, 1719, 0,
6338 0, 1719, 1719, 1719, 1719, 0, 1719, 0, 1719, 1719,
6339 1719, 1719, 1719, 0, 0, 1719, 0, 1719, 1727, 1727,
6340 1727, 1727, 1821, 1821, 1821, 1821, 0, 2044, 2044, 2044,
6341 2044, 0, 0, 0, 1727, 0, 1719, 0, 1821, 0,
6342 1719, 0, 1719, 2044, 0, 2451, 2451, 2451, 2451, 1727,
6343 0, 0, 0, 0, 0, 1821, 2451, 0, 1727, 0,
6344 1719, 1719, 1721, 1721, 1721, 1721, 1721, 1721, 1721, 1721,
6345 1721, 1721, 1721, 1721, 1727, 0, 1721, 1721, 1721, 1721,
6346 1821, 1721, 1727, 1721, 1721, 1721, 1721, 1721, 1728, 1728,
6347
6348 1728, 1728, 0, 1732, 1732, 1732, 1732, 1783, 1783, 1783,
6349 1783, 0, 0, 0, 1728, 2128, 2128, 2128, 2128, 1732,
6350 0, 1721, 0, 1903, 1903, 1903, 1903, 0, 1732, 1728,
6351 1732, 0, 1732, 0, 1783, 0, 1783, 0, 1728, 1903,
6352 2059, 2059, 2059, 2059, 0, 1721, 1721, 0, 0, 0,
6353 1903, 2059, 2128, 1732, 1728, 1732, 2059, 1732, 0, 1783,
6354 0, 1783, 1728, 1729, 1729, 1729, 1729, 1729, 1729, 1729,
6355 1729, 1729, 1729, 1729, 1729, 1903, 2128, 1729, 1729, 1729,
6356 1729, 0, 1729, 0, 1729, 1729, 1729, 1729, 1729, 1744,
6357 1744, 1744, 1744, 0, 0, 1729, 0, 1745, 1745, 1745,
6358
6359 1745, 0, 0, 0, 1729, 1744, 0, 2135, 2135, 2135,
6360 2135, 0, 1729, 1745, 0, 0, 1744, 0, 1744, 0,
6361 1729, 0, 1745, 2135, 1745, 0, 1745, 0, 1729, 0,
6362 0, 0, 1744, 0, 0, 0, 1729, 1729, 0, 0,
6363 0, 1744, 0, 1744, 0, 0, 0, 1745, 0, 1745,
6364 0, 1745, 1748, 1748, 1748, 1748, 1744, 1749, 1749, 1749,
6365 1749, 0, 0, 0, 1750, 1750, 1750, 1750, 1748, 1752,
6366 1752, 1752, 1752, 1749, 0, 1751, 1751, 1751, 1751, 0,
6367 1750, 0, 0, 1748, 0, 1752, 0, 0, 1749, 0,
6368 0, 1751, 1748, 1750, 0, 1750, 0, 1749, 0, 0,
6369
6370 1752, 0, 0, 0, 1750, 0, 1751, 0, 1748, 1752,
6371 0, 0, 0, 1749, 1751, 1751, 1748, 0, 1750, 0,
6372 1750, 1749, 0, 0, 0, 1752, 0, 0, 1750, 0,
6373 0, 1751, 0, 1752, 2150, 2150, 2150, 2150, 1751, 1751,
6374 1753, 1753, 1753, 1753, 1753, 1753, 1753, 1753, 1753, 1753,
6375 1753, 1753, 0, 0, 1753, 1753, 1753, 1753, 0, 1753,
6376 0, 1753, 1753, 1753, 1753, 1753, 0, 1754, 1754, 1754,
6377 1754, 2150, 1753, 2165, 2165, 2165, 2165, 0, 0, 0,
6378 0, 1753, 0, 1754, 0, 1756, 1756, 1756, 1756, 1753,
6379 1755, 1755, 1755, 1755, 0, 2150, 0, 1753, 1754, 0,
6380
6381 0, 1756, 0, 0, 0, 1753, 1755, 1754, 0, 0,
6382 2165, 0, 0, 1753, 1753, 0, 1756, 0, 0, 1755,
6383 0, 1755, 0, 1754, 1756, 1756, 1759, 1759, 1759, 1759,
6384 1755, 1754, 0, 0, 2165, 0, 0, 1759, 0, 0,
6385 0, 1756, 1759, 0, 1755, 0, 1755, 0, 1756, 1756,
6386 0, 0, 0, 0, 1755, 0, 0, 1759, 1760, 1760,
6387 1760, 1760, 1762, 1762, 1762, 1762, 1759, 0, 0, 1760,
6388 0, 0, 0, 1762, 1760, 0, 0, 0, 1762, 0,
6389 0, 0, 1759, 0, 1763, 1763, 1763, 1763, 0, 1760,
6390 1759, 0, 0, 1762, 0, 1763, 0, 0, 1760, 0,
6391
6392 1763, 0, 1762, 0, 1765, 1765, 1765, 1765, 0, 2035,
6393 2035, 2035, 2035, 0, 1760, 1763, 0, 0, 1762, 0,
6394 1765, 0, 1760, 0, 1763, 2035, 1762, 1766, 1766, 1766,
6395 1766, 1767, 1767, 1767, 1767, 1765, 0, 0, 2035, 0,
6396 1763, 1765, 1767, 1766, 1765, 0, 0, 1767, 1763, 0,
6397 1768, 1768, 1768, 1768, 1770, 1770, 1770, 1770, 1766, 0,
6398 1765, 1768, 1767, 2035, 0, 1765, 0, 1766, 1765, 0,
6399 1770, 1767, 0, 1769, 1769, 1769, 1769, 1771, 1771, 1771,
6400 1771, 1768, 0, 1766, 1769, 1770, 0, 1767, 1771, 1769,
6401 1768, 1766, 0, 1771, 1770, 1767, 1772, 1772, 1772, 1772,
6402
6403 1773, 1773, 1773, 1773, 1769, 0, 1768, 1772, 1771, 0,
6404 1770, 1773, 0, 1769, 1768, 0, 1773, 1771, 1770, 1774,
6405 1774, 1774, 1774, 1987, 1987, 1987, 1987, 1772, 0, 1769,
6406 0, 1773, 0, 1771, 0, 1774, 1772, 1769, 0, 1987,
6407 1773, 1771, 1775, 1775, 1775, 1775, 1776, 1776, 1776, 1776,
6408 1774, 0, 1772, 0, 0, 0, 1773, 0, 1775, 1774,
6409 1772, 0, 1776, 1987, 1773, 1777, 1777, 1777, 1777, 1778,
6410 1778, 1778, 1778, 1775, 0, 1774, 1777, 1776, 0, 1775,
6411 1778, 1777, 1775, 1774, 0, 0, 1776, 1987, 1779, 1779,
6412 1779, 1779, 1780, 1780, 1780, 1780, 1777, 0, 1775, 1779,
6413
6414 1778, 0, 1776, 1775, 1779, 1777, 1775, 0, 1780, 1778,
6415 1776, 0, 0, 0, 2042, 2042, 2042, 2042, 0, 1779,
6416 0, 1777, 0, 1780, 0, 1778, 0, 0, 1779, 1777,
6417 2042, 0, 1780, 1778, 1825, 1825, 1825, 1825, 1786, 1786,
6418 1786, 1786, 0, 2042, 1779, 0, 0, 0, 1780, 0,
6419 1825, 0, 1779, 0, 1786, 0, 1780, 1782, 1782, 1782,
6420 1782, 1825, 1782, 1825, 0, 0, 0, 0, 2042, 1786,
6421 1782, 1782, 0, 1782, 1782, 1782, 0, 1782, 1786, 1782,
6422 1782, 1782, 1788, 1788, 1788, 1788, 1825, 0, 1825, 1806,
6423 1806, 1806, 1806, 0, 1786, 0, 0, 0, 1788, 0,
6424
6425 0, 0, 1786, 1787, 1787, 1787, 1787, 0, 1790, 1790,
6426 1790, 1790, 0, 1788, 0, 2138, 2138, 2138, 2138, 1787,
6427 1806, 0, 1788, 0, 1790, 1791, 1791, 1791, 1791, 1806,
6428 1787, 2138, 1787, 0, 1787, 0, 0, 0, 1788, 1790,
6429 0, 1791, 0, 1787, 0, 1806, 1788, 0, 1790, 0,
6430 0, 0, 0, 1806, 0, 1787, 1791, 1787, 0, 1787,
6431 1794, 1794, 1794, 1794, 1790, 1791, 0, 1787, 1798, 1798,
6432 1798, 1798, 1790, 0, 0, 0, 1794, 1795, 1795, 1795,
6433 1795, 1791, 0, 0, 1798, 2060, 2060, 2060, 2060, 1791,
6434 0, 1794, 0, 1795, 0, 1798, 2060, 1798, 0, 0,
6435
6436 1794, 2060, 0, 1826, 1826, 1826, 1826, 0, 1795, 0,
6437 0, 1798, 2328, 2328, 2328, 2328, 1794, 1795, 0, 1826,
6438 1798, 0, 1798, 2328, 1794, 1811, 1811, 1811, 1811, 0,
6439 1826, 0, 1826, 1795, 0, 1798, 1801, 1801, 1801, 1801,
6440 0, 1795, 1796, 1796, 1796, 1796, 1826, 1802, 1802, 1802,
6441 1802, 2328, 1801, 0, 0, 1826, 1811, 1826, 1796, 0,
6442 0, 0, 1811, 1802, 0, 1811, 0, 1801, 0, 1796,
6443 1826, 1796, 0, 1796, 0, 2328, 1801, 0, 1802, 0,
6444 0, 1811, 1796, 0, 0, 0, 1811, 1802, 0, 1811,
6445 0, 0, 1801, 0, 1796, 0, 1796, 0, 1796, 0,
6446
6447 1801, 0, 0, 1802, 0, 0, 1796, 1797, 1797, 1797,
6448 1797, 1802, 0, 0, 0, 1803, 1803, 1803, 1803, 2108,
6449 2108, 2108, 2108, 1797, 0, 0, 0, 1804, 1804, 1804,
6450 1804, 1803, 0, 0, 1797, 2108, 1797, 2036, 2036, 2036,
6451 2036, 0, 0, 1804, 1797, 1797, 1803, 1805, 1805, 1805,
6452 1805, 0, 0, 2036, 0, 1803, 1804, 0, 1804, 1797,
6453 2108, 1797, 0, 1805, 0, 0, 0, 1804, 1797, 1797,
6454 0, 1803, 2114, 2114, 2114, 2114, 2036, 0, 1805, 1803,
6455 0, 1804, 0, 1804, 2108, 0, 1805, 1805, 2114, 0,
6456 0, 1804, 1815, 1815, 1815, 1815, 1816, 1816, 1816, 1816,
6457
6458 2036, 0, 0, 1805, 0, 0, 0, 0, 1815, 0,
6459 1805, 1805, 1816, 2114, 1822, 1822, 1822, 1822, 1823, 1823,
6460 1823, 1823, 0, 1815, 0, 0, 0, 1816, 0, 1815,
6461 1822, 0, 1815, 1816, 1823, 0, 1816, 2114, 0, 2176,
6462 2176, 2176, 2176, 0, 0, 1822, 0, 0, 1815, 1823,
6463 0, 1822, 1816, 1815, 1822, 1823, 1815, 1816, 1823, 0,
6464 1816, 1827, 1827, 1827, 1827, 1828, 1828, 1828, 1828, 0,
6465 1822, 0, 0, 0, 1823, 1822, 2176, 1827, 1822, 1823,
6466 0, 1828, 1823, 1829, 1829, 1829, 1829, 1831, 1831, 1831,
6467 1831, 0, 1827, 0, 0, 0, 1828, 0, 0, 1829,
6468
6469 2176, 1827, 0, 1831, 0, 1828, 1832, 1832, 1832, 1832,
6470 2043, 2043, 2043, 2043, 1829, 0, 1831, 1827, 1831, 0,
6471 0, 1828, 1832, 1829, 0, 1827, 2043, 1831, 0, 1828,
6472 0, 0, 1833, 1833, 1833, 1833, 0, 1832, 0, 1829,
6473 0, 1831, 0, 1831, 0, 1832, 1832, 1829, 1833, 2043,
6474 0, 1831, 1834, 1834, 1834, 1834, 0, 0, 1835, 1835,
6475 1835, 1835, 1832, 1833, 1837, 1837, 1837, 1837, 1834, 1832,
6476 1832, 0, 1833, 2043, 1835, 2269, 2269, 2269, 2269, 0,
6477 1837, 0, 0, 1834, 1838, 1838, 1838, 1838, 1833, 1835,
6478 0, 2269, 1834, 1837, 0, 1837, 1833, 0, 1835, 0,
6479
6480 1838, 0, 0, 0, 1837, 0, 0, 0, 1834, 0,
6481 1840, 1840, 1840, 1840, 1835, 1838, 1834, 0, 1837, 0,
6482 1837, 0, 1835, 1838, 1838, 0, 1840, 0, 1837, 1839,
6483 1839, 1839, 1839, 1841, 1841, 1841, 1841, 0, 0, 0,
6484 1838, 1840, 0, 0, 0, 1839, 0, 1838, 1838, 1841,
6485 1840, 1840, 1842, 1842, 1842, 1842, 1843, 1843, 1843, 1843,
6486 1839, 0, 0, 0, 1841, 0, 1840, 1839, 1842, 1839,
6487 0, 0, 1843, 1841, 1840, 1840, 0, 2453, 2453, 2453,
6488 2453, 1842, 0, 1842, 0, 1839, 0, 1843, 2453, 1841,
6489 0, 1839, 1842, 1839, 0, 1843, 1843, 1841, 1844, 1844,
6490
6491 1844, 1844, 1845, 1845, 1845, 1845, 1842, 0, 1842, 0,
6492 0, 0, 1843, 0, 1844, 0, 1842, 0, 1845, 1843,
6493 1843, 1850, 1850, 1850, 1850, 1851, 1851, 1851, 1851, 1844,
6494 0, 0, 0, 1845, 0, 0, 0, 1850, 1844, 0,
6495 0, 1851, 1845, 1852, 1852, 1852, 1852, 1853, 1853, 1853,
6496 1853, 0, 1850, 0, 1844, 0, 1851, 0, 1845, 1852,
6497 0, 1850, 1844, 1853, 0, 1851, 1845, 2198, 2198, 2198,
6498 2198, 0, 0, 0, 1852, 0, 1853, 1850, 1853, 0,
6499 0, 1851, 0, 1852, 0, 1850, 0, 1853, 0, 1851,
6500 1854, 1854, 1854, 1854, 1855, 1855, 1855, 1855, 0, 1852,
6501
6502 0, 1853, 0, 1853, 2198, 0, 1854, 1852, 0, 0,
6503 1855, 1853, 0, 0, 0, 1865, 1865, 1865, 1865, 0,
6504 0, 1854, 0, 0, 0, 1855, 0, 0, 2198, 1854,
6505 1854, 1865, 1855, 0, 1855, 1856, 1856, 1856, 1856, 0,
6506 0, 0, 1865, 0, 1865, 0, 1854, 0, 0, 0,
6507 1855, 1856, 0, 1854, 1854, 0, 1855, 0, 1855, 0,
6508 0, 1897, 1897, 1897, 1897, 0, 1856, 1865, 0, 1865,
6509 0, 0, 1897, 0, 0, 1856, 1856, 1897, 0, 1866,
6510 1866, 1866, 1866, 1891, 1891, 1891, 1891, 0, 1897, 0,
6511 1897, 1856, 0, 0, 0, 1866, 0, 0, 0, 1856,
6512
6513 1856, 1858, 1858, 1858, 1858, 0, 1866, 0, 1866, 0,
6514 0, 0, 1858, 1897, 1891, 1897, 0, 1858, 1866, 1879,
6515 1879, 1879, 1879, 1891, 0, 0, 0, 2031, 2031, 2031,
6516 2031, 1866, 1858, 1866, 0, 1879, 0, 0, 0, 1891,
6517 1858, 1858, 1866, 2031, 0, 0, 1879, 1891, 1879, 2206,
6518 2206, 2206, 2206, 0, 2031, 0, 2031, 1858, 0, 1879,
6519 1880, 1880, 1880, 1880, 1858, 1858, 1859, 1859, 1859, 1859,
6520 0, 1879, 0, 1879, 0, 0, 1880, 1859, 0, 2031,
6521 0, 2031, 1859, 1879, 0, 0, 2206, 1880, 0, 1880,
6522 1880, 1881, 1881, 1881, 1881, 0, 0, 1859, 0, 0,
6523
6524 0, 2196, 2196, 2196, 2196, 1859, 1859, 1881, 0, 0,
6525 2206, 0, 1880, 0, 1880, 1880, 0, 2196, 1881, 0,
6526 1881, 0, 1859, 0, 1881, 1884, 1884, 1884, 1884, 1859,
6527 1859, 1860, 1860, 1860, 1860, 0, 1885, 1885, 1885, 1885,
6528 0, 1884, 0, 1881, 2196, 1881, 0, 0, 0, 1881,
6529 0, 0, 1885, 0, 0, 0, 1884, 0, 1860, 0,
6530 1860, 0, 1860, 0, 0, 1884, 0, 1885, 2196, 0,
6531 0, 1860, 2195, 2195, 2195, 2195, 1885, 1886, 1886, 1886,
6532 1886, 1884, 0, 1860, 0, 1860, 0, 1860, 2195, 1884,
6533 0, 0, 1885, 1886, 0, 1860, 1861, 1861, 1861, 1861,
6534
6535 1885, 2195, 0, 1887, 1887, 1887, 1887, 0, 1886, 1931,
6536 1931, 1931, 1931, 2456, 2456, 2456, 2456, 1886, 0, 1887,
6537 1931, 0, 0, 1861, 2456, 1861, 2195, 1861, 1904, 1904,
6538 1904, 1904, 1887, 1886, 1887, 0, 1861, 0, 0, 0,
6539 1931, 1886, 0, 1887, 1904, 0, 0, 0, 1861, 1931,
6540 1861, 0, 1861, 1904, 0, 0, 0, 1887, 0, 1887,
6541 1861, 1862, 1862, 1862, 1862, 1931, 0, 1887, 1888, 1888,
6542 1888, 1888, 0, 1931, 2457, 2457, 2457, 2457, 1904, 0,
6543 1890, 1890, 1890, 1890, 1888, 2457, 0, 0, 1862, 0,
6544 1862, 0, 1862, 0, 0, 0, 1890, 0, 0, 1888,
6545
6546 0, 1862, 0, 1889, 1889, 1889, 1889, 1888, 1888, 0,
6547 0, 1890, 0, 1862, 0, 1862, 0, 1862, 0, 1889,
6548 1890, 1890, 0, 0, 1888, 1862, 1867, 1867, 1867, 1867,
6549 0, 1888, 1888, 0, 1889, 0, 1890, 0, 0, 0,
6550 0, 1889, 1867, 1889, 1890, 1890, 1905, 1905, 1905, 1905,
6551 0, 0, 0, 1867, 0, 1867, 0, 1867, 0, 1889,
6552 0, 0, 1905, 0, 0, 1889, 1867, 1889, 1906, 1906,
6553 1906, 1906, 0, 1905, 0, 1905, 0, 0, 1867, 1905,
6554 1867, 0, 1867, 0, 1906, 0, 2203, 2203, 2203, 2203,
6555 1867, 1868, 1868, 1868, 1868, 0, 0, 0, 1905, 1906,
6556
6557 1905, 0, 2203, 0, 1905, 0, 0, 1868, 1906, 0,
6558 1907, 1907, 1907, 1907, 0, 2203, 0, 0, 1868, 0,
6559 1868, 0, 1868, 0, 1906, 0, 1907, 0, 0, 0,
6560 0, 1868, 1906, 0, 0, 0, 1908, 1908, 1908, 1908,
6561 2203, 1907, 0, 1868, 0, 1868, 0, 1868, 1907, 0,
6562 1907, 0, 1908, 0, 0, 1868, 0, 1911, 1911, 1911,
6563 1911, 0, 1913, 1913, 1913, 1913, 1907, 1908, 1912, 1912,
6564 1912, 1912, 1907, 1911, 1907, 0, 1908, 1908, 1913, 0,
6565 1914, 1914, 1914, 1914, 1912, 0, 0, 0, 1911, 0,
6566 0, 0, 1908, 1913, 0, 0, 1914, 1911, 0, 1912,
6567
6568 1908, 1908, 1913, 1913, 0, 0, 1912, 0, 1912, 1914,
6569 0, 1914, 0, 1911, 2234, 2234, 2234, 2234, 1913, 0,
6570 1914, 1911, 0, 0, 1912, 0, 1913, 1913, 0, 0,
6571 1912, 0, 1912, 0, 1914, 0, 1914, 1915, 1915, 1915,
6572 1915, 0, 0, 0, 1914, 0, 0, 1916, 1916, 1916,
6573 1916, 2234, 0, 1915, 0, 0, 0, 1917, 1917, 1917,
6574 1917, 0, 0, 1916, 0, 0, 0, 0, 1915, 2245,
6575 2245, 2245, 2245, 1917, 0, 2234, 0, 1915, 1916, 0,
6576 1915, 0, 0, 0, 0, 1916, 0, 1916, 1917, 1920,
6577 1920, 1920, 1920, 1915, 0, 0, 0, 1917, 1917, 0,
6578
6579 0, 1915, 0, 1916, 1915, 1920, 2245, 0, 0, 1916,
6580 0, 1916, 0, 1917, 0, 0, 1925, 1925, 1925, 1925,
6581 1920, 1917, 1917, 0, 0, 0, 0, 0, 0, 1920,
6582 2245, 0, 1925, 1926, 1926, 1926, 1926, 0, 0, 1927,
6583 1927, 1927, 1927, 0, 0, 1920, 0, 1925, 0, 1926,
6584 0, 0, 0, 1920, 1925, 1927, 1925, 0, 0, 1928,
6585 1928, 1928, 1928, 0, 1926, 0, 0, 0, 1927, 0,
6586 1927, 0, 1925, 1926, 1926, 1928, 0, 0, 1925, 1927,
6587 1925, 2484, 2484, 2484, 2484, 1930, 1930, 1930, 1930, 1926,
6588 1928, 0, 2484, 1927, 0, 1927, 1930, 1926, 1926, 1928,
6589
6590 0, 1930, 1928, 1927, 0, 0, 1932, 1932, 1932, 1932,
6591 0, 1933, 1933, 1933, 1933, 1928, 1930, 1932, 0, 0,
6592 0, 0, 1932, 1928, 0, 1930, 1928, 1933, 1934, 1934,
6593 1934, 1934, 1935, 1935, 1935, 1935, 0, 1932, 0, 1934,
6594 0, 1930, 1933, 1935, 1934, 0, 1932, 0, 0, 1930,
6595 0, 1933, 0, 0, 0, 1936, 1936, 1936, 1936, 1934,
6596 0, 0, 1932, 1935, 0, 0, 1936, 1933, 1934, 0,
6597 1932, 1936, 1935, 0, 0, 1933, 0, 1937, 1937, 1937,
6598 1937, 0, 0, 0, 1934, 0, 1936, 0, 1935, 0,
6599 0, 0, 1934, 1937, 0, 1936, 1935, 1941, 1941, 1941,
6600
6601 1941, 0, 1942, 1942, 1942, 1942, 0, 0, 1937, 0,
6602 0, 1936, 0, 1941, 0, 0, 0, 1937, 1942, 1936,
6603 2268, 2268, 2268, 2268, 1941, 0, 1941, 0, 0, 1942,
6604 0, 1942, 0, 1937, 0, 1941, 2268, 1946, 1946, 1946,
6605 1946, 1937, 0, 1942, 1947, 1947, 1947, 1947, 0, 1941,
6606 0, 1941, 0, 1946, 1942, 0, 1942, 0, 0, 1941,
6607 1947, 2268, 0, 1954, 1954, 1954, 1954, 1942, 1946, 0,
6608 1959, 1959, 1959, 1959, 0, 1947, 0, 1946, 0, 1954,
6609 1955, 1955, 1955, 1955, 1947, 2268, 1959, 0, 1960, 1960,
6610 1960, 1960, 0, 1946, 1954, 0, 1955, 1959, 0, 1959,
6611
6612 1947, 1946, 0, 1954, 1960, 0, 0, 0, 1947, 1959,
6613 0, 1955, 0, 0, 0, 1960, 0, 1960, 0, 1954,
6614 1955, 1960, 1959, 0, 1959, 0, 0, 1954, 0, 1961,
6615 1961, 1961, 1961, 1959, 0, 0, 1955, 0, 0, 0,
6616 1960, 0, 1960, 0, 1955, 1961, 1960, 1963, 1963, 1963,
6617 1963, 1964, 1964, 1964, 1964, 0, 1961, 0, 1961, 0,
6618 0, 0, 0, 1963, 0, 0, 0, 1964, 1965, 1965,
6619 1965, 1965, 1961, 0, 1966, 1966, 1966, 1966, 1963, 0,
6620 0, 1961, 1964, 1961, 1965, 1963, 0, 1963, 0, 0,
6621 1966, 1964, 1964, 0, 0, 0, 1961, 1965, 0, 1965,
6622
6623 0, 0, 0, 1963, 0, 1966, 0, 1964, 1965, 1963,
6624 0, 1963, 0, 0, 1966, 1964, 1964, 1966, 1973, 1973,
6625 1973, 1973, 1965, 0, 1965, 2497, 2497, 2497, 2497, 1973,
6626 1966, 0, 1965, 0, 1973, 0, 2497, 0, 1966, 0,
6627 0, 1966, 0, 0, 1974, 1974, 1974, 1974, 0, 1973,
6628 1977, 1977, 1977, 1977, 0, 1974, 0, 0, 1973, 0,
6629 1974, 1977, 1978, 1978, 1978, 1978, 1977, 2107, 2107, 2107,
6630 2107, 0, 0, 1978, 1973, 1974, 0, 0, 1978, 0,
6631 0, 1977, 1973, 2107, 1974, 1979, 1979, 1979, 1979, 0,
6632 1977, 0, 0, 1978, 0, 0, 1979, 0, 0, 0,
6633
6634 1974, 0, 1978, 0, 0, 2107, 1977, 0, 1974, 2253,
6635 2253, 2253, 2253, 0, 1977, 0, 1979, 0, 1978, 1983,
6636 1983, 1983, 1983, 0, 0, 1979, 1978, 0, 0, 2107,
6637 1983, 1984, 1984, 1984, 1984, 1983, 0, 1985, 1985, 1985,
6638 1985, 1979, 1984, 0, 0, 0, 2253, 1984, 1985, 1979,
6639 1983, 1988, 1988, 1988, 1988, 0, 0, 0, 0, 1983,
6640 0, 0, 1984, 2275, 2275, 2275, 2275, 1988, 1985, 0,
6641 2253, 1984, 0, 0, 0, 1983, 0, 1985, 1988, 2275,
6642 1988, 0, 0, 1983, 0, 0, 0, 1984, 0, 0,
6643 1988, 0, 0, 1985, 0, 1984, 1989, 1989, 1989, 1989,
6644
6645 0, 1985, 0, 1988, 0, 1988, 1990, 1990, 1990, 1990,
6646 0, 0, 1989, 0, 1988, 0, 1991, 1991, 1991, 1991,
6647 0, 0, 1990, 1992, 1992, 1992, 1992, 1989, 1993, 1993,
6648 1993, 1993, 1991, 0, 0, 0, 1989, 1990, 0, 1992,
6649 0, 0, 0, 0, 1993, 0, 1990, 1991, 2113, 2113,
6650 2113, 2113, 1989, 0, 1992, 0, 1991, 1993, 0, 1993,
6651 1989, 0, 1990, 1992, 2113, 0, 0, 0, 1993, 0,
6652 1990, 0, 1991, 1994, 1994, 1994, 1994, 0, 0, 1992,
6653 1991, 0, 1993, 0, 1993, 0, 2113, 1992, 0, 1994,
6654 0, 0, 1993, 1995, 1995, 1995, 1995, 0, 0, 1996,
6655
6656 1996, 1996, 1996, 0, 1994, 2504, 2504, 2504, 2504, 1995,
6657 2113, 0, 1994, 1994, 0, 1996, 2504, 0, 2534, 2534,
6658 2534, 2534, 1995, 0, 1995, 1999, 1999, 1999, 1999, 1994,
6659 1996, 0, 0, 1995, 2534, 0, 1994, 1994, 0, 1996,
6660 0, 1999, 1996, 2000, 2000, 2000, 2000, 1995, 0, 1995,
6661 0, 2397, 2397, 2397, 2397, 1996, 1999, 1995, 0, 2000,
6662 0, 0, 2397, 1996, 0, 1999, 1996, 0, 0, 2001,
6663 2001, 2001, 2001, 0, 2000, 2002, 2002, 2002, 2002, 0,
6664 0, 1999, 0, 2000, 0, 2001, 0, 0, 0, 1999,
6665 2397, 2002, 2003, 2003, 2003, 2003, 0, 0, 0, 2000,
6666
6667 2001, 2348, 2348, 2348, 2348, 0, 2002, 2000, 2003, 2001,
6668 2004, 2004, 2004, 2004, 2397, 2002, 0, 2348, 0, 0,
6669 0, 2003, 0, 2003, 0, 2001, 2004, 2355, 2355, 2355,
6670 2355, 2002, 2003, 2001, 2348, 2327, 2327, 2327, 2327, 2002,
6671 0, 2004, 0, 2355, 0, 0, 2003, 0, 2003, 2004,
6672 2004, 2005, 2005, 2005, 2005, 0, 2003, 0, 0, 2348,
6673 2355, 2006, 2006, 2006, 2006, 0, 2004, 2005, 2007, 2007,
6674 2007, 2007, 2327, 2004, 2004, 0, 0, 2006, 0, 0,
6675 2005, 0, 2005, 0, 2007, 2355, 0, 2008, 2008, 2008,
6676 2008, 2005, 2006, 0, 0, 0, 2327, 0, 0, 2007,
6677
6678 0, 2006, 0, 2008, 2006, 2005, 0, 2005, 2007, 2007,
6679 2009, 2009, 2009, 2009, 0, 2005, 0, 2006, 2008, 2204,
6680 2204, 2204, 2204, 0, 2007, 2006, 2009, 2008, 2006, 0,
6681 0, 0, 2007, 2007, 0, 2204, 0, 0, 0, 2009,
6682 0, 2009, 0, 2008, 2010, 2010, 2010, 2010, 0, 0,
6683 2009, 2008, 0, 0, 2013, 2013, 2013, 2013, 0, 0,
6684 2010, 0, 2204, 0, 2009, 0, 2009, 0, 0, 0,
6685 2013, 0, 0, 0, 2009, 2010, 2014, 2014, 2014, 2014,
6686 2395, 2395, 2395, 2395, 2010, 2013, 2204, 2010, 2015, 2015,
6687 2015, 2015, 2014, 0, 2013, 0, 2274, 2274, 2274, 2274,
6688
6689 2010, 0, 0, 0, 2015, 0, 0, 2014, 2010, 0,
6690 2013, 2010, 2274, 0, 0, 0, 2014, 2395, 2013, 2015,
6691 2016, 2016, 2016, 2016, 2525, 2525, 2525, 2525, 2015, 0,
6692 0, 0, 2014, 0, 0, 2525, 2016, 2274, 0, 0,
6693 2014, 2395, 0, 0, 2015, 0, 2017, 2017, 2017, 2017,
6694 0, 2016, 2015, 0, 0, 0, 2018, 2018, 2018, 2018,
6695 2016, 2274, 2017, 0, 0, 0, 2409, 2409, 2409, 2409,
6696 0, 0, 2018, 0, 0, 2017, 2016, 2017, 2023, 2023,
6697 2023, 2023, 0, 0, 2016, 0, 2017, 2018, 0, 0,
6698 2024, 2024, 2024, 2024, 2023, 2018, 2018, 0, 0, 0,
6699
6700 2017, 0, 2017, 2409, 0, 0, 2024, 2023, 0, 2023,
6701 2017, 0, 2018, 2540, 2540, 2540, 2540, 0, 2023, 2018,
6702 2018, 2024, 2025, 2025, 2025, 2025, 0, 2409, 0, 2540,
6703 2024, 0, 2023, 2024, 2023, 0, 0, 0, 2025, 0,
6704 0, 0, 2023, 0, 0, 0, 2024, 0, 2026, 2026,
6705 2026, 2026, 0, 2025, 2024, 0, 0, 2024, 0, 0,
6706 0, 0, 2025, 2025, 2026, 2032, 2032, 2032, 2032, 2047,
6707 2047, 2047, 2047, 0, 2048, 2048, 2048, 2048, 2025, 2026,
6708 0, 2032, 0, 0, 0, 2047, 2025, 2025, 2026, 0,
6709 2048, 0, 2032, 0, 2032, 0, 2047, 0, 2047, 0,
6710
6711 0, 2048, 0, 2048, 2026, 2048, 0, 2047, 2032, 0,
6712 0, 0, 2026, 2049, 2049, 2049, 2049, 2032, 0, 2032,
6713 0, 2047, 0, 2047, 0, 0, 2048, 0, 2048, 2049,
6714 2048, 2047, 2032, 2051, 2051, 2051, 2051, 0, 0, 0,
6715 2049, 0, 2049, 2052, 2052, 2052, 2052, 0, 0, 2051,
6716 2053, 2053, 2053, 2053, 0, 0, 0, 0, 0, 2052,
6717 2049, 0, 2051, 0, 2051, 2049, 2053, 2049, 0, 2054,
6718 2054, 2054, 2054, 2051, 2052, 0, 0, 2489, 2489, 2489,
6719 2489, 2053, 0, 2052, 2049, 2054, 2052, 2051, 2489, 2051,
6720 2053, 2053, 2061, 2061, 2061, 2061, 0, 2051, 0, 2052,
6721
6722 2054, 2535, 2535, 2535, 2535, 0, 2053, 2052, 2061, 2054,
6723 2052, 0, 0, 0, 2053, 2053, 2489, 2535, 0, 2061,
6724 0, 2061, 0, 0, 0, 2054, 2062, 2062, 2062, 2062,
6725 2061, 0, 0, 2054, 0, 0, 2063, 2063, 2063, 2063,
6726 2489, 2535, 2062, 0, 2061, 0, 2061, 2064, 2064, 2064,
6727 2064, 0, 2063, 0, 2061, 2062, 0, 2062, 2065, 2065,
6728 2065, 2065, 0, 2064, 0, 2535, 2062, 2063, 2536, 2536,
6729 2536, 2536, 0, 0, 2065, 2063, 2063, 0, 2064, 2536,
6730 2062, 0, 2062, 2581, 2581, 2581, 2581, 2064, 0, 2065,
6731 2062, 0, 2063, 0, 2581, 0, 2065, 0, 2065, 2063,
6732
6733 2063, 0, 0, 2064, 0, 2066, 2066, 2066, 2066, 0,
6734 0, 2064, 0, 0, 2065, 0, 2067, 2067, 2067, 2067,
6735 2065, 2066, 2065, 2068, 2068, 2068, 2068, 2071, 2071, 2071,
6736 2071, 0, 2067, 0, 0, 0, 2066, 0, 0, 2068,
6737 0, 0, 0, 2071, 0, 2066, 2066, 2067, 2422, 2422,
6738 2422, 2422, 0, 0, 2068, 0, 2067, 2067, 2071, 0,
6739 2068, 2066, 0, 2068, 0, 0, 0, 2071, 0, 2066,
6740 2066, 0, 2067, 2072, 2072, 2072, 2072, 0, 0, 2068,
6741 2067, 2067, 0, 2071, 2068, 2422, 0, 2068, 0, 2072,
6742 0, 2071, 2073, 2073, 2073, 2073, 0, 0, 0, 2074,
6743
6744 2074, 2074, 2074, 0, 2072, 0, 0, 0, 2073, 2422,
6745 0, 2072, 0, 2072, 0, 2074, 2431, 2431, 2431, 2431,
6746 0, 0, 0, 2073, 0, 2610, 2610, 2610, 2610, 2072,
6747 2074, 0, 2073, 2073, 0, 2072, 2610, 2072, 0, 2074,
6748 2074, 2610, 2075, 2075, 2075, 2075, 0, 0, 2073, 2077,
6749 2077, 2077, 2077, 2431, 0, 2074, 2073, 2073, 2075, 2076,
6750 2076, 2076, 2076, 2074, 2074, 2077, 0, 2529, 2529, 2529,
6751 2529, 0, 0, 2075, 0, 2076, 0, 2431, 2529, 2075,
6752 2077, 0, 2075, 0, 0, 0, 2077, 0, 0, 2077,
6753 2076, 0, 2076, 2078, 2078, 2078, 2078, 0, 2075, 2076,
6754
6755 0, 0, 0, 2075, 2529, 2077, 2075, 0, 0, 2078,
6756 2077, 0, 0, 2077, 0, 2076, 0, 2076, 0, 2079,
6757 2079, 2079, 2079, 2076, 2078, 0, 0, 0, 2529, 0,
6758 2078, 0, 0, 2078, 0, 2079, 2080, 2080, 2080, 2080,
6759 0, 0, 2081, 2081, 2081, 2081, 0, 0, 0, 2078,
6760 2079, 0, 2080, 0, 2078, 0, 2079, 2078, 2081, 2079,
6761 0, 0, 2084, 2084, 2084, 2084, 0, 2080, 2085, 2085,
6762 2085, 2085, 0, 2081, 0, 2079, 2080, 2080, 2084, 2081,
6763 2079, 0, 2081, 2079, 2085, 0, 0, 0, 0, 0,
6764 0, 2084, 2080, 2084, 2086, 2086, 2086, 2086, 2081, 2085,
6765
6766 2080, 2080, 2084, 2081, 0, 0, 2081, 2085, 2085, 0,
6767 2086, 2087, 2087, 2087, 2087, 0, 2084, 0, 2084, 0,
6768 2454, 2454, 2454, 2454, 2085, 2086, 2084, 2087, 0, 0,
6769 0, 2085, 2085, 0, 2086, 0, 2454, 2088, 2088, 2088,
6770 2088, 0, 2087, 2093, 2093, 2093, 2093, 2454, 0, 2087,
6771 2086, 2087, 0, 2088, 2094, 2094, 2094, 2094, 2086, 2093,
6772 2104, 2104, 2104, 2104, 0, 0, 0, 2087, 2088, 0,
6773 2094, 0, 2454, 2087, 2093, 2087, 2104, 2088, 2088, 2820,
6774 2820, 2820, 2820, 2093, 2093, 2094, 0, 2104, 0, 2104,
6775 2820, 2094, 0, 2088, 2094, 2095, 2095, 2095, 2095, 2093,
6776
6777 0, 2088, 2088, 2458, 2458, 2458, 2458, 2093, 2093, 0,
6778 2094, 2095, 2104, 0, 2104, 2094, 0, 0, 2094, 2458,
6779 0, 2096, 2096, 2096, 2096, 0, 2095, 0, 2095, 0,
6780 2458, 2097, 2097, 2097, 2097, 2095, 0, 2096, 2098, 2098,
6781 2098, 2098, 2641, 2641, 2641, 2641, 0, 2097, 0, 0,
6782 0, 2095, 2096, 2095, 2098, 2458, 0, 0, 2096, 2095,
6783 0, 2096, 2097, 0, 2103, 2103, 2103, 2103, 2097, 2098,
6784 0, 2097, 0, 0, 0, 2098, 0, 2096, 2098, 2641,
6785 2103, 0, 2096, 0, 0, 2096, 0, 2097, 0, 0,
6786 0, 2103, 2097, 2103, 2098, 2097, 0, 0, 0, 2098,
6787
6788 0, 0, 2098, 2641, 0, 2103, 2117, 2117, 2117, 2117,
6789 2118, 2118, 2118, 2118, 0, 0, 2103, 0, 2103, 0,
6790 0, 0, 2117, 0, 0, 0, 2118, 0, 0, 2103,
6791 0, 0, 0, 2117, 0, 2117, 0, 2118, 0, 2118,
6792 2119, 2119, 2119, 2119, 0, 2121, 2121, 2121, 2121, 0,
6793 2122, 2122, 2122, 2122, 2118, 0, 2119, 0, 2117, 0,
6794 2117, 2121, 2118, 0, 2118, 0, 2122, 2119, 0, 2119,
6795 0, 0, 2123, 2123, 2123, 2123, 2121, 0, 2118, 0,
6796 0, 2122, 0, 0, 0, 2121, 2121, 2122, 2123, 0,
6797 2122, 0, 2119, 0, 2119, 0, 0, 2139, 2139, 2139,
6798
6799 2139, 2121, 0, 2123, 0, 2123, 2122, 0, 0, 2121,
6800 2121, 2122, 2123, 2139, 2122, 2124, 2124, 2124, 2124, 2125,
6801 2125, 2125, 2125, 0, 2139, 0, 2139, 0, 2123, 0,
6802 2123, 2124, 0, 0, 0, 2125, 2123, 2126, 2126, 2126,
6803 2126, 2130, 2130, 2130, 2130, 0, 2124, 0, 0, 2139,
6804 2125, 2139, 2124, 2126, 0, 2124, 2125, 2130, 0, 2125,
6805 0, 0, 2660, 2660, 2660, 2660, 0, 0, 2126, 0,
6806 0, 2124, 2130, 0, 2126, 2125, 2124, 2126, 2130, 2124,
6807 2125, 2130, 0, 2125, 2131, 2131, 2131, 2131, 2132, 2132,
6808 2132, 2132, 0, 2126, 0, 0, 0, 2130, 2126, 2660,
6809
6810 2131, 2126, 2130, 0, 0, 2130, 2133, 2133, 2133, 2133,
6811 0, 2455, 2455, 2455, 2455, 2131, 0, 2133, 0, 2132,
6812 0, 2131, 2133, 2660, 2131, 2132, 0, 2455, 2132, 0,
6813 0, 2541, 2541, 2541, 2541, 0, 2455, 2133, 0, 0,
6814 2131, 0, 0, 0, 2132, 2131, 2133, 2541, 2131, 2132,
6815 0, 0, 2132, 2134, 2134, 2134, 2134, 2136, 2136, 2136,
6816 2136, 2455, 2133, 0, 2134, 0, 0, 0, 2136, 2134,
6817 2133, 2541, 0, 2136, 2137, 2137, 2137, 2137, 0, 0,
6818 2140, 2140, 2140, 2140, 2134, 2137, 0, 0, 2136, 0,
6819 2137, 0, 0, 2134, 0, 2541, 2140, 2136, 0, 0,
6820
6821 2141, 2141, 2141, 2141, 0, 2137, 0, 0, 0, 2134,
6822 0, 2140, 0, 2136, 2137, 0, 2141, 2134, 2140, 0,
6823 2140, 2136, 0, 2142, 2142, 2142, 2142, 0, 0, 0,
6824 2137, 2141, 2827, 2827, 2827, 2827, 2140, 0, 2137, 2142,
6825 2141, 2141, 2140, 2827, 2140, 2143, 2143, 2143, 2143, 0,
6826 0, 0, 2142, 0, 2142, 0, 2141, 0, 0, 0,
6827 0, 2143, 0, 2142, 2141, 2141, 2144, 2144, 2144, 2144,
6828 2611, 2611, 2611, 2611, 0, 0, 2143, 2142, 0, 2142,
6829 0, 2611, 2144, 0, 0, 2143, 2611, 2142, 2143, 2145,
6830 2145, 2145, 2145, 2853, 2853, 2853, 2853, 2144, 0, 2144,
6831
6832 0, 2143, 0, 0, 2853, 2145, 2144, 0, 0, 2143,
6833 0, 0, 2143, 0, 0, 2146, 2146, 2146, 2146, 0,
6834 2145, 0, 2144, 0, 2144, 0, 2145, 0, 0, 2145,
6835 2144, 2146, 2147, 2147, 2147, 2147, 0, 0, 2667, 2667,
6836 2667, 2667, 0, 0, 0, 2145, 2146, 0, 2147, 0,
6837 2145, 0, 2146, 2145, 2667, 2146, 0, 0, 2148, 2148,
6838 2148, 2148, 0, 2147, 2152, 2152, 2152, 2152, 0, 2147,
6839 0, 2146, 2147, 0, 2148, 0, 2146, 0, 0, 2146,
6840 2152, 0, 0, 2148, 2151, 2151, 2151, 2151, 2147, 2148,
6841 0, 0, 0, 2147, 0, 2152, 2147, 0, 2148, 0,
6842
6843 2151, 0, 0, 0, 2152, 0, 0, 2152, 2148, 2670,
6844 2670, 2670, 2670, 2151, 2148, 2151, 2153, 2153, 2153, 2153,
6845 2152, 0, 2148, 0, 2151, 2670, 0, 0, 2152, 0,
6846 0, 2152, 2153, 2682, 2682, 2682, 2682, 0, 2151, 0,
6847 2151, 0, 2154, 2154, 2154, 2154, 0, 2153, 2151, 2153,
6848 0, 0, 2155, 2155, 2155, 2155, 2153, 0, 2154, 2156,
6849 2156, 2156, 2156, 2697, 2697, 2697, 2697, 0, 2155, 0,
6850 2682, 0, 2153, 2154, 2153, 2156, 0, 0, 0, 2154,
6851 2153, 0, 2154, 2155, 2866, 2866, 2866, 2866, 0, 2155,
6852 2156, 0, 2155, 0, 2682, 2866, 2156, 0, 2154, 2156,
6853
6854 2697, 0, 0, 2154, 0, 0, 2154, 0, 2155, 2157,
6855 2157, 2157, 2157, 2155, 0, 2156, 2155, 0, 0, 0,
6856 2156, 0, 0, 2156, 2697, 2157, 2158, 2158, 2158, 2158,
6857 0, 2159, 2159, 2159, 2159, 0, 2157, 0, 0, 0,
6858 2157, 0, 2158, 2160, 2160, 2160, 2160, 2159, 0, 2157,
6859 0, 2158, 2873, 2873, 2873, 2873, 0, 2158, 0, 2160,
6860 0, 2157, 2159, 2873, 2159, 2157, 2158, 2804, 2804, 2804,
6861 2804, 2159, 0, 2157, 2160, 0, 2158, 0, 2804, 0,
6862 2160, 0, 2158, 2160, 0, 0, 0, 2159, 0, 2159,
6863 2158, 2708, 2708, 2708, 2708, 2159, 0, 0, 0, 2160,
6864
6865 2161, 2161, 2161, 2161, 2160, 0, 2804, 2160, 0, 0,
6866 2162, 2162, 2162, 2162, 0, 0, 2161, 2163, 2163, 2163,
6867 2163, 0, 2166, 2166, 2166, 2166, 2162, 0, 2708, 0,
6868 2804, 2161, 0, 2163, 0, 0, 0, 2161, 2166, 0,
6869 2161, 2162, 2163, 2263, 2263, 2263, 2263, 2162, 2163, 0,
6870 2162, 0, 2708, 2166, 0, 0, 2161, 2163, 0, 2263,
6871 2166, 2161, 2166, 0, 2161, 0, 2162, 2163, 0, 0,
6872 2263, 2162, 2263, 2163, 2162, 0, 0, 0, 2166, 0,
6873 0, 2163, 0, 0, 2166, 0, 2166, 2167, 2167, 2167,
6874 2167, 2168, 2168, 2168, 2168, 2263, 0, 2263, 2169, 2169,
6875
6876 2169, 2169, 0, 2167, 0, 0, 0, 2168, 0, 2743,
6877 2743, 2743, 2743, 0, 2169, 0, 0, 0, 2167, 0,
6878 2168, 0, 2168, 0, 0, 0, 0, 2167, 2167, 2169,
6879 0, 2168, 2171, 2171, 2171, 2171, 0, 0, 2169, 0,
6880 0, 2169, 0, 2167, 0, 2168, 2743, 2168, 2171, 0,
6881 0, 2167, 2167, 0, 2169, 2168, 0, 0, 2172, 2172,
6882 2172, 2172, 2169, 2171, 0, 2169, 0, 0, 0, 2171,
6883 2743, 0, 2171, 0, 2172, 2173, 2173, 2173, 2173, 0,
6884 0, 2754, 2754, 2754, 2754, 0, 0, 0, 2171, 2172,
6885 0, 0, 0, 2171, 0, 2172, 2171, 0, 2172, 0,
6886
6887 0, 2177, 2177, 2177, 2177, 0, 2173, 2178, 2178, 2178,
6888 2178, 0, 2173, 0, 2172, 2173, 0, 2177, 2754, 2172,
6889 0, 0, 2172, 2178, 2179, 2179, 2179, 2179, 0, 0,
6890 0, 2173, 2177, 0, 2177, 0, 2173, 0, 2178, 2173,
6891 2179, 2177, 2754, 0, 2178, 0, 0, 2178, 0, 0,
6892 2180, 2180, 2180, 2180, 0, 2179, 0, 2177, 0, 2177,
6893 0, 2179, 0, 2178, 2179, 2177, 2180, 0, 2178, 0,
6894 0, 2178, 0, 2181, 2181, 2181, 2181, 0, 0, 0,
6895 2179, 2180, 0, 0, 0, 2179, 0, 2180, 2179, 2181,
6896 2180, 2182, 2182, 2182, 2182, 2762, 2762, 2762, 2762, 0,
6897
6898 2181, 0, 0, 0, 2181, 0, 2180, 2182, 0, 0,
6899 0, 2180, 0, 2181, 2180, 0, 2182, 2187, 2187, 2187,
6900 2187, 0, 2182, 0, 0, 2181, 0, 0, 0, 2181,
6901 0, 2182, 2762, 2187, 0, 0, 0, 2181, 0, 0,
6902 0, 2182, 0, 0, 2187, 0, 2187, 2182, 0, 0,
6903 2187, 2188, 2188, 2188, 2188, 2182, 2762, 0, 2189, 2189,
6904 2189, 2189, 2884, 2884, 2884, 2884, 0, 2188, 0, 2187,
6905 0, 2187, 0, 2884, 2189, 2187, 0, 0, 0, 0,
6906 0, 0, 2188, 2459, 2459, 2459, 2459, 0, 2188, 2189,
6907 0, 2188, 0, 0, 0, 2189, 0, 0, 2189, 2459,
6908
6909 2192, 2192, 2192, 2192, 0, 0, 0, 2188, 2459, 0,
6910 0, 0, 2188, 0, 2189, 2188, 2192, 0, 0, 2189,
6911 0, 0, 2189, 2190, 2190, 2190, 2190, 0, 0, 0,
6912 0, 2192, 0, 2459, 0, 0, 0, 2192, 0, 2190,
6913 2192, 2193, 2193, 2193, 2193, 2194, 2194, 2194, 2194, 0,
6914 2190, 0, 2190, 0, 2190, 0, 2192, 2193, 0, 0,
6915 2190, 2192, 0, 2190, 2192, 0, 0, 2200, 2200, 2200,
6916 2200, 0, 2193, 0, 0, 2190, 2194, 2190, 2193, 2190,
6917 0, 2193, 2194, 2200, 2190, 2194, 0, 2190, 0, 0,
6918 2202, 2202, 2202, 2202, 0, 0, 0, 2193, 2200, 0,
6919
6920 0, 2194, 2193, 0, 2200, 2193, 2194, 2200, 0, 2194,
6921 2201, 2201, 2201, 2201, 0, 0, 0, 0, 0, 0,
6922 0, 2202, 0, 2200, 0, 0, 2201, 2202, 2200, 0,
6923 2202, 2200, 2207, 2207, 2207, 2207, 2208, 2208, 2208, 2208,
6924 0, 2201, 0, 0, 0, 0, 2202, 2201, 2207, 0,
6925 2201, 2202, 2208, 0, 2202, 0, 0, 0, 0, 2207,
6926 0, 2207, 0, 2208, 0, 2208, 2201, 0, 0, 0,
6927 2207, 2201, 0, 0, 2201, 0, 0, 2208, 2803, 2803,
6928 2803, 2803, 0, 0, 2207, 0, 2207, 0, 2208, 0,
6929 2208, 0, 0, 0, 2207, 0, 0, 2340, 2340, 2340,
6930
6931 2340, 2208, 2209, 2209, 2209, 2209, 2209, 2209, 2209, 2209,
6932 2209, 2209, 2209, 2209, 0, 2803, 2209, 2209, 2209, 2209,
6933 0, 2209, 0, 2209, 2209, 2209, 2209, 2209, 2340, 2812,
6934 2812, 2812, 2812, 0, 2209, 0, 0, 2340, 0, 2803,
6935 0, 0, 0, 2209, 0, 0, 0, 0, 0, 0,
6936 0, 2209, 0, 2340, 0, 0, 0, 0, 0, 2209,
6937 0, 2340, 0, 0, 0, 0, 2812, 2209, 2826, 2826,
6938 2826, 2826, 0, 0, 0, 2209, 2209, 2210, 2210, 2210,
6939 2210, 2210, 2210, 2210, 2210, 2210, 2210, 2210, 2210, 0,
6940 2812, 2210, 2210, 2210, 2210, 0, 2210, 0, 2210, 2210,
6941
6942 2210, 2210, 2210, 0, 0, 2826, 0, 0, 0, 2210,
6943 0, 0, 0, 0, 0, 0, 0, 0, 2210, 0,
6944 0, 0, 0, 0, 0, 0, 2210, 0, 0, 2826,
6945 0, 0, 0, 0, 2210, 0, 0, 0, 0, 0,
6946 0, 0, 2210, 0, 0, 0, 0, 0, 0, 0,
6947 2210, 2210, 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211,
6948 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211,
6949 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211,
6950 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211,
6951 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211,
6952
6953 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211,
6954 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2211,
6955 2211, 2211, 2211, 2211, 2211, 2211, 2211, 2213, 2213, 2213,
6956 2213, 2214, 2214, 2214, 2214, 0, 0, 0, 2215, 2215,
6957 2215, 2215, 0, 2213, 0, 0, 0, 2214, 0, 2216,
6958 2216, 2216, 2216, 0, 2215, 0, 0, 0, 2213, 0,
6959 2213, 0, 2214, 0, 0, 2216, 0, 2213, 2214, 2215,
6960 0, 2214, 0, 0, 0, 2215, 0, 0, 2215, 0,
6961 2216, 0, 0, 2213, 0, 2213, 2216, 2214, 0, 2216,
6962 0, 2213, 2214, 0, 2215, 2214, 0, 0, 0, 2215,
6963
6964 0, 0, 2215, 0, 0, 2216, 2217, 2217, 2217, 2217,
6965 2216, 0, 0, 2216, 0, 0, 2218, 2218, 2218, 2218,
6966 0, 0, 2217, 2220, 2220, 2220, 2220, 2839, 2839, 2839,
6967 2839, 0, 2218, 2217, 0, 0, 0, 2217, 0, 2220,
6968 0, 2218, 2814, 2814, 2814, 2814, 2217, 2218, 2848, 2848,
6969 2848, 2848, 0, 2814, 2220, 0, 2218, 0, 2217, 0,
6970 2220, 0, 2217, 2220, 2839, 0, 2218, 0, 0, 0,
6971 2217, 0, 2218, 2221, 2221, 2221, 2221, 0, 0, 2220,
6972 2218, 2814, 0, 0, 2220, 2848, 0, 2220, 2839, 2221,
6973 2222, 2222, 2222, 2222, 0, 0, 0, 0, 0, 0,
6974
6975 2858, 2858, 2858, 2858, 2221, 2814, 0, 0, 0, 2848,
6976 2221, 2858, 0, 2221, 0, 0, 2225, 2225, 2225, 2225,
6977 0, 2222, 2226, 2226, 2226, 2226, 0, 2222, 0, 2221,
6978 2222, 0, 2225, 0, 2221, 0, 0, 2221, 2226, 2858,
6979 0, 0, 0, 0, 0, 2225, 2222, 2225, 0, 0,
6980 0, 2222, 0, 2226, 2222, 0, 2225, 2227, 2227, 2227,
6981 2227, 0, 2226, 2858, 0, 2226, 0, 0, 0, 0,
6982 2225, 0, 2225, 2227, 2228, 2228, 2228, 2228, 2226, 0,
6983 2225, 0, 0, 0, 0, 0, 2226, 0, 2227, 2226,
6984 2228, 0, 2229, 2229, 2229, 2229, 0, 2227, 2227, 0,
6985
6986 0, 0, 0, 0, 0, 2228, 0, 0, 2229, 0,
6987 0, 2228, 0, 2227, 2228, 2231, 2231, 2231, 2231, 2229,
6988 0, 2227, 2227, 2229, 0, 0, 2232, 2232, 2232, 2232,
6989 2228, 2231, 2229, 0, 0, 2228, 0, 0, 2228, 0,
6990 2231, 0, 2232, 0, 2229, 0, 2231, 0, 2229, 0,
6991 0, 0, 0, 0, 0, 2231, 2229, 2232, 2236, 2236,
6992 2236, 2236, 0, 0, 0, 2231, 2232, 0, 0, 0,
6993 0, 2231, 0, 0, 2236, 2237, 2237, 2237, 2237, 2231,
6994 0, 0, 2232, 0, 0, 0, 0, 0, 0, 2236,
6995 2232, 2237, 0, 2238, 2238, 2238, 2238, 0, 2236, 2236,
6996
6997 0, 0, 0, 0, 0, 0, 2237, 0, 0, 2238,
6998 0, 0, 2237, 0, 2236, 2237, 2239, 2239, 2239, 2239,
6999 2238, 0, 2236, 2236, 2238, 0, 0, 2240, 2240, 2240,
7000 2240, 2237, 2239, 2238, 0, 0, 2237, 0, 0, 2237,
7001 0, 0, 0, 2240, 0, 2238, 0, 2239, 0, 2238,
7002 2241, 2241, 2241, 2241, 0, 0, 2239, 2238, 2240, 0,
7003 0, 0, 0, 0, 0, 0, 2241, 2240, 2242, 2242,
7004 2242, 2242, 2239, 2243, 2243, 2243, 2243, 2241, 0, 0,
7005 2239, 2241, 0, 2240, 2242, 0, 0, 0, 0, 2243,
7006 2241, 2240, 0, 2242, 2246, 2246, 2246, 2246, 0, 2242,
7007
7008 0, 0, 2241, 0, 2243, 0, 2241, 0, 2242, 0,
7009 2246, 0, 0, 2243, 2241, 0, 0, 0, 2242, 0,
7010 0, 0, 0, 2246, 2242, 2246, 0, 0, 0, 2243,
7011 0, 0, 2242, 0, 2246, 0, 0, 2243, 2247, 2247,
7012 2247, 2247, 0, 2248, 2248, 2248, 2248, 0, 2246, 0,
7013 2246, 0, 0, 0, 2247, 0, 0, 0, 2246, 2248,
7014 2249, 2249, 2249, 2249, 2255, 2255, 2255, 2255, 0, 2247,
7015 2256, 2256, 2256, 2256, 2248, 0, 2249, 0, 2247, 0,
7016 2255, 2247, 0, 2248, 2248, 0, 2256, 2254, 2254, 2254,
7017 2254, 2249, 0, 0, 2247, 2255, 0, 2249, 0, 2248,
7018
7019 2249, 2256, 2247, 2254, 2255, 2247, 0, 2248, 2248, 0,
7020 2256, 0, 0, 0, 2254, 0, 2249, 0, 2254, 0,
7021 2255, 2249, 0, 0, 2249, 0, 2256, 2254, 2255, 2260,
7022 2260, 2260, 2260, 0, 2256, 2345, 2345, 2345, 2345, 2254,
7023 0, 0, 0, 2254, 0, 2260, 2261, 2261, 2261, 2261,
7024 0, 2254, 0, 0, 2265, 2265, 2265, 2265, 0, 0,
7025 2260, 0, 2261, 0, 0, 0, 2345, 0, 0, 2260,
7026 2265, 0, 2345, 0, 0, 2345, 0, 2261, 0, 2265,
7027 0, 2265, 0, 2265, 0, 2260, 2261, 0, 0, 0,
7028 0, 2345, 0, 2260, 0, 0, 2345, 0, 0, 2345,
7029
7030 0, 0, 2261, 0, 2265, 0, 2265, 0, 2265, 0,
7031 2261, 2262, 2262, 2262, 2262, 2262, 2262, 2262, 2262, 2262,
7032 2262, 2262, 2262, 0, 0, 2262, 2262, 2262, 2262, 0,
7033 2262, 0, 2262, 2262, 2262, 2262, 2262, 2277, 2277, 2277,
7034 2277, 0, 0, 2262, 0, 2278, 2278, 2278, 2278, 0,
7035 0, 0, 2262, 2277, 0, 0, 0, 0, 0, 0,
7036 2262, 2278, 0, 0, 2277, 0, 2277, 0, 2262, 0,
7037 2278, 0, 2278, 0, 2278, 0, 2262, 0, 0, 0,
7038 2277, 0, 0, 0, 2262, 2262, 0, 0, 0, 2277,
7039 0, 2277, 0, 0, 0, 2278, 0, 2278, 0, 2278,
7040
7041 2281, 2281, 2281, 2281, 2277, 2282, 2282, 2282, 2282, 0,
7042 0, 0, 2283, 2283, 2283, 2283, 2281, 2285, 2285, 2285,
7043 2285, 2282, 0, 2284, 2284, 2284, 2284, 0, 2283, 0,
7044 0, 2281, 0, 2285, 0, 0, 2282, 0, 0, 2284,
7045 2281, 2283, 0, 2283, 0, 2282, 0, 0, 2285, 0,
7046 0, 0, 2283, 0, 2284, 0, 2281, 2285, 0, 0,
7047 0, 2282, 2284, 2284, 2281, 0, 2283, 0, 2283, 2282,
7048 0, 0, 0, 2285, 0, 0, 2283, 0, 0, 2284,
7049 0, 2285, 0, 0, 0, 0, 2284, 2284, 2286, 2286,
7050 2286, 2286, 2286, 2286, 2286, 2286, 2286, 2286, 2286, 2286,
7051
7052 0, 0, 2286, 2286, 2286, 2286, 0, 2286, 0, 2286,
7053 2286, 2286, 2286, 2286, 0, 2287, 2287, 2287, 2287, 0,
7054 2286, 0, 0, 0, 0, 0, 0, 0, 0, 2286,
7055 0, 2287, 0, 2289, 2289, 2289, 2289, 2286, 2288, 2288,
7056 2288, 2288, 0, 0, 0, 2286, 2287, 0, 0, 2289,
7057 0, 0, 0, 2286, 2288, 2287, 0, 0, 0, 0,
7058 0, 2286, 2286, 0, 2289, 0, 0, 2288, 0, 2288,
7059 0, 2287, 2289, 2289, 2290, 2290, 2290, 2290, 2288, 2287,
7060 0, 0, 0, 0, 2292, 2292, 2292, 2292, 0, 2289,
7061 2290, 0, 2288, 0, 2288, 0, 2289, 2289, 0, 0,
7062
7063 2292, 2290, 2288, 0, 0, 2290, 0, 0, 0, 2292,
7064 2446, 2446, 2446, 2446, 2290, 2292, 2293, 2293, 2293, 2293,
7065 2294, 2294, 2294, 2294, 2292, 0, 2290, 0, 0, 0,
7066 2290, 0, 2293, 0, 2292, 0, 2294, 0, 2290, 0,
7067 2292, 2446, 2299, 2299, 2299, 2299, 0, 2293, 2292, 0,
7068 2446, 2294, 0, 0, 0, 0, 2293, 0, 2299, 0,
7069 2294, 0, 2300, 2300, 2300, 2300, 2446, 0, 0, 0,
7070 0, 0, 2293, 2299, 2446, 0, 2294, 0, 2300, 0,
7071 2293, 0, 2299, 2299, 2294, 0, 0, 0, 2301, 2301,
7072 2301, 2301, 0, 2300, 2302, 2302, 2302, 2302, 2299, 2300,
7073
7074 0, 0, 2300, 0, 2301, 0, 2299, 2299, 0, 0,
7075 2302, 2303, 2303, 2303, 2303, 0, 0, 0, 2300, 2301,
7076 0, 2301, 0, 2300, 0, 2302, 2300, 2303, 2301, 0,
7077 0, 2302, 0, 0, 2302, 0, 0, 2304, 2304, 2304,
7078 2304, 0, 2303, 0, 2301, 0, 2301, 0, 2303, 0,
7079 2302, 2303, 2301, 2304, 0, 2302, 0, 0, 2302, 0,
7080 0, 0, 2304, 2305, 2305, 2305, 2305, 2303, 2304, 0,
7081 0, 0, 2303, 0, 0, 2303, 0, 2304, 0, 2305,
7082 2307, 2307, 2307, 2307, 0, 0, 0, 2304, 0, 0,
7083 0, 0, 0, 2304, 2305, 0, 2307, 0, 0, 0,
7084
7085 2305, 2304, 0, 2305, 0, 2359, 2359, 2359, 2359, 0,
7086 0, 2307, 0, 0, 0, 0, 0, 0, 0, 2305,
7087 2307, 2359, 0, 0, 2305, 0, 0, 2305, 0, 0,
7088 0, 0, 2359, 0, 2359, 0, 2307, 0, 2311, 2311,
7089 2311, 2311, 0, 0, 2307, 2308, 2308, 2308, 2308, 2312,
7090 2312, 2312, 2312, 0, 2311, 0, 2308, 2359, 0, 2359,
7091 0, 2308, 0, 0, 0, 2312, 0, 0, 0, 2311,
7092 0, 2311, 2314, 2314, 2314, 2314, 2308, 0, 2311, 0,
7093 2312, 0, 0, 0, 2308, 2308, 2312, 0, 2314, 2312,
7094 2313, 2313, 2313, 2313, 2311, 0, 2311, 2314, 0, 0,
7095
7096 0, 2308, 2311, 2314, 0, 2312, 2313, 0, 2308, 2308,
7097 2312, 0, 2314, 2312, 0, 0, 2315, 2315, 2315, 2315,
7098 0, 2313, 2314, 0, 0, 0, 0, 2313, 2314, 0,
7099 2313, 0, 2315, 0, 0, 0, 2314, 0, 0, 2317,
7100 2317, 2317, 2317, 0, 0, 0, 2313, 2315, 0, 0,
7101 0, 2313, 0, 2315, 2313, 2317, 2315, 0, 2318, 2318,
7102 2318, 2318, 0, 0, 0, 0, 0, 0, 0, 0,
7103 2317, 0, 2315, 0, 2318, 0, 2317, 2315, 0, 2317,
7104 2315, 2316, 2316, 2316, 2316, 0, 0, 0, 0, 2318,
7105 0, 0, 2316, 0, 0, 2317, 0, 2316, 2318, 0,
7106
7107 2317, 0, 0, 2317, 0, 0, 0, 0, 0, 0,
7108 0, 0, 2316, 0, 2318, 0, 0, 0, 0, 0,
7109 2316, 2316, 2318, 2321, 2321, 2321, 2321, 0, 0, 0,
7110 0, 0, 0, 0, 0, 0, 0, 2316, 0, 2321,
7111 0, 0, 0, 0, 2316, 2316, 2319, 2319, 2319, 2319,
7112 0, 0, 0, 0, 2321, 0, 0, 2319, 2322, 2322,
7113 2322, 2322, 2319, 2321, 2321, 0, 0, 0, 2323, 2323,
7114 2323, 2323, 0, 0, 2322, 0, 0, 2319, 0, 2321,
7115 2324, 2324, 2324, 2324, 2323, 2319, 2319, 2321, 2321, 2322,
7116 2325, 2325, 2325, 2325, 0, 2322, 2324, 0, 2322, 2323,
7117
7118 0, 2323, 2319, 0, 0, 0, 2325, 0, 2323, 2319,
7119 2319, 2324, 0, 0, 2322, 0, 0, 2324, 0, 2322,
7120 2324, 2325, 2322, 0, 2323, 0, 2323, 2325, 0, 0,
7121 2325, 0, 2323, 0, 0, 0, 2324, 2326, 2326, 2326,
7122 2326, 2324, 0, 0, 2324, 0, 2325, 0, 0, 0,
7123 0, 2325, 0, 2326, 2325, 2330, 2330, 2330, 2330, 0,
7124 0, 0, 2326, 0, 0, 0, 0, 0, 2326, 0,
7125 0, 2330, 0, 2335, 2335, 2335, 2335, 2326, 2336, 2336,
7126 2336, 2336, 0, 0, 0, 0, 2330, 2326, 0, 2335,
7127 0, 0, 2330, 2326, 2336, 2330, 0, 0, 0, 0,
7128
7129 0, 2326, 0, 0, 2335, 0, 0, 0, 0, 2336,
7130 0, 2330, 0, 2335, 0, 0, 2330, 0, 2336, 2330,
7131 2331, 2331, 2331, 2331, 2337, 2337, 2337, 2337, 0, 2335,
7132 0, 2331, 0, 0, 2336, 0, 2331, 2335, 0, 0,
7133 2337, 0, 2336, 0, 0, 0, 2338, 2338, 2338, 2338,
7134 0, 2331, 0, 0, 0, 2337, 0, 0, 0, 2331,
7135 2331, 0, 2338, 0, 2337, 2339, 2339, 2339, 2339, 0,
7136 0, 0, 0, 0, 0, 2338, 2331, 2338, 0, 0,
7137 2337, 2339, 0, 2331, 2331, 0, 2338, 0, 2337, 0,
7138 0, 0, 0, 0, 0, 0, 2339, 0, 0, 0,
7139
7140 2338, 0, 2338, 0, 2339, 2339, 2349, 2349, 2349, 2349,
7141 2338, 0, 0, 0, 0, 0, 2350, 2350, 2350, 2350,
7142 0, 2339, 2349, 2356, 2356, 2356, 2356, 0, 2339, 2339,
7143 0, 0, 2350, 0, 0, 0, 0, 2349, 0, 2356,
7144 0, 0, 0, 2349, 0, 0, 2349, 2350, 0, 0,
7145 0, 0, 0, 2350, 2356, 0, 2350, 0, 0, 0,
7146 2356, 0, 2349, 2356, 0, 0, 0, 2349, 0, 0,
7147 2349, 0, 2350, 2357, 2357, 2357, 2357, 2350, 0, 2356,
7148 2350, 0, 0, 0, 2356, 0, 0, 2356, 0, 2357,
7149 2360, 2360, 2360, 2360, 0, 2361, 2361, 2361, 2361, 2362,
7150
7151 2362, 2362, 2362, 0, 2357, 0, 2360, 0, 0, 0,
7152 2357, 2361, 0, 2357, 0, 2362, 0, 2360, 0, 2360,
7153 0, 0, 2363, 2363, 2363, 2363, 2361, 0, 0, 2357,
7154 2362, 0, 0, 2360, 2357, 2361, 0, 2357, 2363, 2362,
7155 0, 0, 2360, 0, 2360, 2365, 2365, 2365, 2365, 0,
7156 0, 2361, 0, 2363, 0, 2362, 0, 2360, 0, 2361,
7157 0, 2365, 2363, 2362, 2366, 2366, 2366, 2366, 0, 0,
7158 0, 0, 0, 0, 2365, 0, 2365, 0, 2363, 0,
7159 2366, 2367, 2367, 2367, 2367, 2365, 2363, 0, 0, 0,
7160 0, 2368, 2368, 2368, 2368, 2366, 0, 2367, 0, 2365,
7161
7162 0, 2365, 0, 2366, 2366, 0, 0, 2368, 0, 2365,
7163 0, 0, 2367, 2369, 2369, 2369, 2369, 0, 0, 0,
7164 2366, 2367, 2368, 0, 0, 0, 0, 2366, 2366, 2369,
7165 0, 2368, 2371, 2371, 2371, 2371, 0, 2367, 0, 0,
7166 0, 0, 0, 0, 2369, 2367, 0, 2368, 2371, 0,
7167 0, 0, 0, 2369, 0, 2368, 0, 0, 0, 0,
7168 0, 2371, 0, 2371, 2372, 2372, 2372, 2372, 0, 2369,
7169 0, 0, 2371, 0, 0, 0, 0, 2369, 0, 0,
7170 2372, 2373, 2373, 2373, 2373, 0, 2371, 0, 2371, 0,
7171 0, 2374, 2374, 2374, 2374, 2372, 2371, 2373, 2375, 2375,
7172
7173 2375, 2375, 0, 2372, 2372, 0, 0, 2374, 0, 0,
7174 0, 0, 2373, 0, 2375, 0, 0, 0, 0, 2373,
7175 2372, 2373, 2374, 0, 0, 0, 0, 2372, 2372, 2375,
7176 0, 2374, 2374, 0, 0, 0, 0, 2373, 2375, 0,
7177 0, 0, 0, 2373, 0, 2373, 0, 2374, 2376, 2376,
7178 2376, 2376, 0, 0, 2375, 2374, 2374, 0, 2377, 2377,
7179 2377, 2377, 2375, 0, 2376, 0, 0, 0, 2378, 2378,
7180 2378, 2378, 0, 0, 2377, 0, 0, 2376, 0, 2376,
7181 2379, 2379, 2379, 2379, 2378, 0, 0, 0, 2376, 2377,
7182 0, 0, 0, 0, 0, 0, 2379, 2377, 2377, 2378,
7183
7184 0, 0, 2376, 0, 2376, 0, 0, 0, 2378, 0,
7185 0, 2379, 2376, 0, 2377, 2384, 2384, 2384, 2384, 0,
7186 2379, 2377, 2377, 0, 2378, 2385, 2385, 2385, 2385, 0,
7187 0, 2384, 2378, 0, 0, 0, 2379, 2386, 2386, 2386,
7188 2386, 2385, 0, 0, 2379, 0, 2384, 0, 0, 0,
7189 0, 0, 0, 2386, 0, 2384, 2385, 2387, 2387, 2387,
7190 2387, 0, 0, 0, 0, 2385, 0, 0, 2386, 0,
7191 0, 2384, 0, 2387, 0, 0, 0, 2386, 0, 2384,
7192 0, 2385, 0, 0, 0, 0, 2387, 0, 2387, 2385,
7193 0, 0, 0, 2386, 0, 0, 0, 2387, 0, 0,
7194
7195 0, 2386, 2388, 2388, 2388, 2388, 2389, 2389, 2389, 2389,
7196 0, 2387, 0, 2387, 0, 0, 0, 0, 2388, 0,
7197 0, 2387, 2389, 2390, 2390, 2390, 2390, 0, 0, 2393,
7198 2393, 2393, 2393, 2388, 0, 0, 0, 2389, 0, 2390,
7199 0, 2388, 2388, 0, 2389, 2393, 2389, 0, 0, 0,
7200 2430, 2430, 2430, 2430, 2390, 0, 0, 0, 2388, 0,
7201 2393, 2430, 2389, 2390, 2390, 2388, 2388, 0, 2389, 2393,
7202 2389, 0, 0, 2391, 2391, 2391, 2391, 0, 0, 2390,
7203 0, 2430, 0, 0, 0, 2393, 0, 2390, 2390, 2391,
7204 2430, 0, 0, 2393, 0, 2398, 2398, 2398, 2398, 0,
7205
7206 0, 0, 0, 0, 2391, 0, 2430, 0, 0, 0,
7207 2391, 2398, 0, 2391, 2430, 2399, 2399, 2399, 2399, 0,
7208 0, 0, 0, 0, 0, 0, 2398, 0, 2398, 2391,
7209 0, 2399, 0, 0, 2391, 2398, 0, 2391, 2394, 2394,
7210 2394, 2394, 0, 0, 0, 0, 2399, 0, 0, 2394,
7211 0, 2398, 2399, 2398, 2394, 2399, 0, 0, 0, 2398,
7212 0, 0, 0, 0, 0, 2401, 2401, 2401, 2401, 2394,
7213 0, 2399, 2400, 2400, 2400, 2400, 2399, 2394, 2394, 2399,
7214 0, 2401, 2402, 2402, 2402, 2402, 0, 0, 2400, 0,
7215 0, 0, 2401, 0, 2394, 0, 2401, 0, 2402, 0,
7216
7217 0, 2394, 2394, 2400, 0, 2401, 0, 0, 0, 2400,
7218 0, 0, 2400, 2402, 0, 0, 0, 2401, 0, 0,
7219 0, 2401, 2402, 0, 2405, 2405, 2405, 2405, 2400, 2401,
7220 0, 0, 0, 2400, 0, 2405, 2400, 0, 2402, 0,
7221 2405, 2406, 2406, 2406, 2406, 0, 2402, 2404, 2404, 2404,
7222 2404, 0, 2406, 0, 0, 2405, 0, 2406, 2404, 2407,
7223 2407, 2407, 2407, 2404, 2405, 0, 0, 0, 0, 0,
7224 2407, 0, 2406, 0, 0, 2407, 0, 0, 2404, 0,
7225 2405, 2406, 2408, 2408, 2408, 2408, 2404, 2404, 2405, 0,
7226 2407, 0, 0, 2411, 2411, 2411, 2411, 2406, 2408, 2407,
7227
7228 0, 0, 0, 2404, 0, 2406, 0, 0, 0, 2411,
7229 2404, 2404, 0, 2408, 0, 2407, 2412, 2412, 2412, 2412,
7230 2411, 0, 2408, 2407, 2411, 0, 0, 0, 2413, 2413,
7231 2413, 2413, 2412, 2411, 0, 0, 0, 0, 2408, 2413,
7232 0, 0, 0, 0, 2413, 2411, 2408, 2412, 0, 2411,
7233 2414, 2414, 2414, 2414, 0, 0, 2412, 2411, 0, 2413,
7234 0, 2414, 2415, 2415, 2415, 2415, 2414, 0, 2413, 0,
7235 0, 0, 2412, 2415, 0, 0, 0, 0, 2415, 0,
7236 2412, 2414, 0, 0, 2413, 2416, 2416, 2416, 2416, 0,
7237 2414, 0, 2413, 2415, 0, 0, 0, 0, 0, 0,
7238
7239 0, 2416, 2415, 0, 0, 0, 2414, 0, 2418, 2418,
7240 2418, 2418, 0, 0, 2414, 0, 2416, 0, 2415, 2418,
7241 0, 0, 0, 0, 2418, 2416, 2415, 2419, 2419, 2419,
7242 2419, 2420, 2420, 2420, 2420, 0, 0, 0, 2419, 2418,
7243 0, 2416, 2420, 2419, 0, 0, 0, 2420, 2418, 2416,
7244 2417, 2417, 2417, 2417, 2427, 2427, 2427, 2427, 2419, 0,
7245 0, 2417, 2420, 0, 2418, 0, 2417, 2419, 0, 0,
7246 2427, 2420, 2418, 0, 0, 0, 2423, 2423, 2423, 2423,
7247 0, 2417, 0, 2419, 0, 2427, 0, 2420, 0, 2417,
7248 2417, 2419, 2423, 0, 2427, 2420, 2424, 2424, 2424, 2424,
7249
7250 0, 2671, 2671, 2671, 2671, 0, 2417, 2423, 0, 2423,
7251 2427, 0, 2424, 2417, 2417, 0, 2423, 2671, 2427, 2425,
7252 2425, 2425, 2425, 0, 0, 0, 0, 2424, 2671, 0,
7253 2671, 0, 2423, 2424, 2423, 2425, 2424, 0, 0, 0,
7254 2423, 0, 2426, 2426, 2426, 2426, 0, 0, 0, 0,
7255 2425, 0, 2424, 2671, 0, 2671, 2425, 2424, 2426, 2425,
7256 2424, 2428, 2428, 2428, 2428, 2429, 2429, 2429, 2429, 2426,
7257 0, 0, 2428, 2426, 0, 2425, 2429, 2428, 0, 0,
7258 2425, 2429, 2426, 2425, 2432, 2432, 2432, 2432, 2433, 2433,
7259 2433, 2433, 2428, 0, 2426, 2432, 2429, 0, 2426, 2433,
7260
7261 2432, 2428, 0, 0, 2433, 2429, 2426, 2434, 2434, 2434,
7262 2434, 2439, 2439, 2439, 2439, 2432, 0, 2428, 2434, 2433,
7263 0, 2429, 0, 2434, 2432, 2428, 0, 2439, 2433, 2429,
7264 2440, 2440, 2440, 2440, 2441, 2441, 2441, 2441, 2434, 0,
7265 2432, 0, 2439, 0, 2433, 0, 2440, 2434, 2432, 0,
7266 2441, 2439, 2433, 2442, 2442, 2442, 2442, 2443, 2443, 2443,
7267 2443, 2440, 0, 2434, 0, 2441, 0, 2439, 0, 2442,
7268 2440, 2434, 0, 2443, 2441, 2439, 0, 0, 0, 0,
7269 0, 0, 2442, 0, 2442, 0, 2440, 0, 2443, 0,
7270 2441, 0, 0, 2442, 2440, 0, 2443, 2443, 2441, 2444,
7271
7272 2444, 2444, 2444, 2445, 2445, 2445, 2445, 2442, 0, 2442,
7273 0, 0, 0, 2443, 0, 2444, 0, 2442, 0, 2445,
7274 2443, 2443, 2452, 2452, 2452, 2452, 2461, 2461, 2461, 2461,
7275 2444, 0, 0, 2452, 2445, 0, 0, 2444, 2452, 2444,
7276 0, 0, 2461, 2445, 2445, 0, 0, 0, 0, 2452,
7277 0, 2452, 0, 0, 0, 2444, 0, 2461, 0, 2445,
7278 0, 2444, 0, 2444, 0, 0, 2461, 2445, 2445, 2460,
7279 2460, 2460, 2460, 0, 2452, 0, 2452, 2462, 2462, 2462,
7280 2462, 0, 2461, 0, 0, 2460, 0, 0, 0, 0,
7281 2461, 0, 0, 2462, 0, 0, 2460, 0, 2460, 0,
7282
7283 0, 0, 2460, 2463, 2463, 2463, 2463, 0, 2462, 2466,
7284 2466, 2466, 2466, 0, 0, 2462, 0, 2462, 0, 2463,
7285 0, 2460, 0, 2460, 0, 2466, 0, 2460, 0, 0,
7286 0, 0, 0, 2462, 2463, 0, 0, 0, 0, 2462,
7287 2466, 2462, 0, 2463, 2463, 2467, 2467, 2467, 2467, 2466,
7288 2468, 2468, 2468, 2468, 0, 0, 0, 0, 0, 2463,
7289 0, 2467, 0, 0, 0, 2466, 2468, 2463, 2463, 0,
7290 0, 0, 0, 2466, 0, 0, 2467, 0, 0, 0,
7291 0, 2468, 0, 2467, 0, 2467, 2470, 2470, 2470, 2470,
7292 2468, 2468, 2469, 2469, 2469, 2469, 2471, 2471, 2471, 2471,
7293
7294 0, 2467, 2470, 0, 0, 0, 2468, 2467, 2469, 2467,
7295 0, 0, 2471, 0, 2468, 2468, 0, 2470, 0, 0,
7296 0, 2469, 0, 2469, 0, 0, 2470, 2471, 0, 2470,
7297 0, 0, 2469, 0, 2471, 0, 2471, 0, 2472, 2472,
7298 2472, 2472, 2470, 0, 0, 0, 2469, 0, 2469, 0,
7299 2470, 0, 2471, 2470, 2472, 0, 2469, 0, 2471, 0,
7300 2471, 2475, 2475, 2475, 2475, 0, 0, 0, 0, 2472,
7301 0, 0, 0, 2480, 2480, 2480, 2480, 2475, 2472, 2472,
7302 0, 0, 0, 2481, 2481, 2481, 2481, 0, 0, 2480,
7303 0, 0, 2475, 0, 2472, 0, 0, 0, 0, 2481,
7304
7305 0, 2475, 2472, 2472, 2480, 2482, 2482, 2482, 2482, 0,
7306 0, 2480, 0, 2480, 2481, 0, 0, 2475, 0, 0,
7307 0, 2482, 0, 2481, 2481, 2475, 0, 0, 0, 2480,
7308 0, 0, 0, 0, 2482, 2480, 2482, 2480, 0, 2481,
7309 2483, 2483, 2483, 2483, 0, 2482, 0, 2481, 2481, 0,
7310 0, 0, 0, 0, 0, 0, 2483, 0, 0, 2482,
7311 0, 2482, 2486, 2486, 2486, 2486, 0, 0, 0, 2482,
7312 0, 2483, 0, 2486, 2487, 2487, 2487, 2487, 2486, 0,
7313 2483, 0, 0, 2483, 0, 2487, 0, 0, 0, 0,
7314 2487, 0, 0, 2486, 0, 0, 2483, 0, 0, 0,
7315
7316 0, 0, 2486, 0, 2483, 2487, 0, 2483, 2485, 2485,
7317 2485, 2485, 0, 0, 2487, 0, 0, 0, 2486, 2485,
7318 2488, 2488, 2488, 2488, 2485, 0, 2486, 0, 0, 0,
7319 2487, 2488, 0, 0, 0, 0, 2488, 0, 2487, 2485,
7320 0, 0, 2490, 2490, 2490, 2490, 0, 2485, 2485, 0,
7321 0, 2488, 0, 2490, 2491, 2491, 2491, 2491, 2490, 0,
7322 2488, 0, 0, 0, 2485, 2491, 0, 0, 0, 0,
7323 2491, 2485, 2485, 2490, 0, 0, 2488, 2492, 2492, 2492,
7324 2492, 0, 2490, 0, 2488, 2491, 0, 0, 2492, 0,
7325 0, 0, 0, 0, 2491, 0, 0, 0, 2490, 2493,
7326
7327 2493, 2493, 2493, 0, 0, 0, 2490, 0, 2492, 0,
7328 2491, 0, 0, 0, 0, 2493, 0, 2492, 2491, 2494,
7329 2494, 2494, 2494, 0, 0, 0, 2493, 0, 0, 0,
7330 2493, 0, 0, 2492, 0, 2494, 0, 0, 0, 2493,
7331 0, 2492, 0, 0, 2494, 2495, 2495, 2495, 2495, 0,
7332 2494, 2493, 0, 0, 0, 2493, 0, 0, 0, 2494,
7333 0, 2495, 0, 2493, 2502, 2502, 2502, 2502, 0, 2494,
7334 0, 0, 0, 0, 0, 2494, 2495, 0, 0, 0,
7335 2502, 0, 2495, 2494, 0, 2495, 0, 2499, 2499, 2499,
7336 2499, 2500, 2500, 2500, 2500, 2502, 0, 0, 2499, 0,
7337
7338 0, 2495, 2500, 2499, 2502, 0, 2495, 2500, 0, 2495,
7339 2496, 2496, 2496, 2496, 2501, 2501, 2501, 2501, 2499, 0,
7340 2502, 2496, 2500, 0, 0, 2501, 2496, 2499, 2502, 0,
7341 2501, 2500, 2505, 2505, 2505, 2505, 0, 0, 0, 0,
7342 0, 2496, 0, 2499, 0, 2501, 0, 2500, 2505, 2496,
7343 2496, 2499, 0, 0, 2501, 2500, 0, 0, 0, 0,
7344 0, 0, 0, 2505, 0, 0, 2496, 0, 0, 2505,
7345 2501, 0, 2505, 2496, 2496, 0, 0, 0, 2501, 2507,
7346 2507, 2507, 2507, 0, 0, 0, 0, 0, 2505, 0,
7347 2507, 0, 0, 2505, 0, 2507, 2505, 2506, 2506, 2506,
7348
7349 2506, 2508, 2508, 2508, 2508, 0, 0, 0, 2506, 0,
7350 2507, 0, 2508, 2506, 0, 0, 0, 2508, 0, 2507,
7351 0, 0, 0, 2509, 2509, 2509, 2509, 0, 2506, 0,
7352 0, 0, 2508, 0, 2509, 2507, 2506, 2506, 0, 2509,
7353 0, 2508, 0, 2507, 0, 0, 2511, 2511, 2511, 2511,
7354 0, 0, 0, 2506, 2509, 0, 0, 2508, 0, 0,
7355 2506, 2506, 2511, 2509, 0, 2508, 2512, 2512, 2512, 2512,
7356 0, 0, 0, 2511, 0, 0, 0, 2511, 0, 2509,
7357 0, 0, 2512, 0, 0, 0, 2511, 2509, 0, 0,
7358 0, 2512, 2513, 2513, 2513, 2513, 0, 2512, 2511, 0,
7359
7360 0, 0, 2511, 0, 0, 0, 2512, 0, 2513, 0,
7361 2511, 0, 0, 0, 0, 0, 2512, 0, 0, 0,
7362 0, 0, 2512, 2513, 0, 0, 0, 0, 0, 2513,
7363 2512, 0, 2513, 0, 0, 0, 2517, 2517, 2517, 2517,
7364 0, 0, 0, 0, 0, 0, 0, 0, 2513, 0,
7365 0, 0, 2517, 2513, 0, 0, 2513, 2514, 2514, 2514,
7366 2514, 0, 2518, 2518, 2518, 2518, 0, 2517, 2514, 0,
7367 0, 0, 0, 2514, 2517, 0, 2517, 0, 2518, 2519,
7368 2519, 2519, 2519, 0, 0, 0, 0, 0, 2514, 0,
7369 0, 0, 2517, 2518, 0, 2519, 2514, 2514, 2517, 0,
7370
7371 2517, 0, 2518, 2518, 0, 0, 0, 0, 2519, 0,
7372 2519, 0, 0, 2514, 2520, 2520, 2520, 2520, 2518, 2519,
7373 2514, 2514, 0, 0, 0, 0, 2518, 2518, 0, 0,
7374 2520, 0, 0, 2519, 0, 2519, 2527, 2527, 2527, 2527,
7375 0, 0, 0, 2519, 0, 2520, 0, 2527, 2528, 2528,
7376 2528, 2528, 2527, 0, 2520, 0, 0, 2520, 0, 2528,
7377 0, 0, 0, 0, 2528, 0, 0, 2527, 0, 0,
7378 2520, 2531, 2531, 2531, 2531, 0, 2527, 0, 2520, 2528,
7379 0, 2520, 2531, 2532, 2532, 2532, 2532, 2531, 2528, 0,
7380 0, 0, 2527, 0, 2532, 0, 0, 0, 0, 2532,
7381
7382 2527, 0, 2531, 0, 2528, 2533, 2533, 2533, 2533, 0,
7383 0, 2531, 2528, 0, 2532, 0, 2533, 2537, 2537, 2537,
7384 2537, 0, 0, 2532, 0, 0, 0, 2531, 2537, 0,
7385 0, 0, 0, 2537, 0, 2531, 2533, 0, 0, 2532,
7386 2538, 2538, 2538, 2538, 0, 2533, 0, 2532, 2537, 0,
7387 0, 2538, 2539, 2539, 2539, 2539, 2538, 2537, 0, 0,
7388 0, 2533, 0, 2539, 0, 0, 0, 0, 0, 2533,
7389 0, 2538, 0, 2537, 2542, 2542, 2542, 2542, 0, 0,
7390 2538, 2537, 0, 2539, 2543, 2543, 2543, 2543, 0, 0,
7391 2542, 0, 2539, 0, 0, 0, 2538, 0, 0, 0,
7392
7393 2543, 2542, 0, 2542, 2538, 0, 0, 0, 2539, 0,
7394 0, 0, 0, 2542, 0, 2543, 2539, 0, 2544, 2544,
7395 2544, 2544, 0, 0, 2543, 0, 2542, 0, 2542, 2545,
7396 2545, 2545, 2545, 0, 2544, 0, 0, 2542, 0, 0,
7397 2543, 2546, 2546, 2546, 2546, 2545, 0, 0, 2543, 2544,
7398 0, 0, 0, 0, 0, 0, 0, 2546, 2544, 0,
7399 2545, 2547, 2547, 2547, 2547, 0, 0, 0, 0, 2545,
7400 0, 0, 2546, 0, 2544, 0, 0, 2547, 0, 0,
7401 0, 2546, 2544, 0, 0, 2545, 0, 0, 0, 0,
7402 2547, 0, 2547, 2545, 0, 0, 0, 2546, 0, 0,
7403
7404 0, 2547, 0, 0, 0, 2546, 2548, 2548, 2548, 2548,
7405 2549, 2549, 2549, 2549, 0, 2547, 0, 2547, 0, 0,
7406 0, 0, 2548, 0, 0, 2547, 2549, 0, 2550, 2550,
7407 2550, 2550, 2553, 2553, 2553, 2553, 0, 2548, 0, 2549,
7408 0, 2549, 0, 0, 2550, 2548, 2548, 0, 2553, 0,
7409 2549, 2554, 2554, 2554, 2554, 0, 0, 0, 0, 2550,
7410 0, 0, 2548, 2553, 2549, 0, 2549, 2554, 2550, 2548,
7411 2548, 2550, 2553, 0, 2549, 0, 0, 2555, 2555, 2555,
7412 2555, 0, 2554, 0, 2550, 0, 0, 0, 2553, 0,
7413 0, 2554, 2550, 2555, 0, 2550, 2553, 2556, 2556, 2556,
7414
7415 2556, 0, 0, 2557, 2557, 2557, 2557, 2554, 2555, 2558,
7416 2558, 2558, 2558, 2556, 0, 2554, 0, 2555, 0, 2557,
7417 0, 0, 0, 0, 0, 2558, 0, 0, 2556, 0,
7418 0, 0, 2557, 2555, 2557, 0, 0, 2556, 0, 0,
7419 2558, 2555, 0, 2557, 0, 0, 0, 0, 2558, 2558,
7420 0, 0, 0, 2556, 0, 0, 0, 2557, 0, 2557,
7421 0, 2556, 0, 0, 0, 2558, 0, 2557, 2559, 2559,
7422 2559, 2559, 2558, 2558, 2560, 2560, 2560, 2560, 2561, 2561,
7423 2561, 2561, 0, 0, 2559, 0, 0, 0, 0, 0,
7424 2560, 0, 0, 0, 2561, 0, 0, 2559, 0, 2559,
7425
7426 2562, 2562, 2562, 2562, 0, 2560, 0, 0, 2559, 2561,
7427 0, 0, 0, 0, 2560, 0, 2562, 2560, 2561, 2561,
7428 0, 0, 2559, 0, 2559, 0, 0, 0, 0, 0,
7429 2560, 2562, 2559, 0, 2561, 0, 0, 0, 2560, 0,
7430 2562, 2560, 2561, 2561, 2563, 2563, 2563, 2563, 0, 2564,
7431 2564, 2564, 2564, 0, 0, 0, 2562, 0, 0, 0,
7432 2563, 0, 0, 0, 2562, 2564, 2567, 2567, 2567, 2567,
7433 0, 0, 0, 2563, 0, 2563, 0, 0, 0, 0,
7434 2564, 0, 2567, 0, 2563, 0, 0, 0, 0, 2564,
7435 0, 0, 2564, 2568, 2568, 2568, 2568, 2567, 2563, 0,
7436
7437 2563, 0, 0, 0, 0, 2564, 2567, 0, 2563, 2568,
7438 0, 0, 0, 2564, 0, 0, 2564, 0, 0, 0,
7439 0, 0, 2567, 0, 2568, 2569, 2569, 2569, 2569, 0,
7440 2567, 0, 0, 2568, 0, 2570, 2570, 2570, 2570, 0,
7441 0, 2569, 2571, 2571, 2571, 2571, 0, 0, 0, 2568,
7442 0, 2570, 0, 0, 0, 0, 2569, 2568, 2571, 0,
7443 0, 2572, 2572, 2572, 2572, 2569, 2570, 0, 0, 0,
7444 0, 2571, 0, 2571, 0, 2570, 0, 2572, 0, 0,
7445 0, 2569, 2571, 0, 0, 0, 0, 0, 0, 2569,
7446 0, 2570, 2572, 0, 0, 0, 2571, 0, 2571, 2570,
7447
7448 2572, 2572, 2577, 2577, 2577, 2577, 2571, 2578, 2578, 2578,
7449 2578, 0, 2579, 2579, 2579, 2579, 0, 2572, 2577, 0,
7450 0, 0, 0, 2578, 2572, 2572, 0, 0, 2579, 0,
7451 0, 2577, 0, 2577, 0, 0, 0, 0, 2578, 0,
7452 0, 0, 2577, 2579, 0, 0, 0, 2578, 0, 0,
7453 2578, 0, 2579, 2579, 0, 0, 2577, 0, 2577, 2580,
7454 2580, 2580, 2580, 2578, 0, 0, 2577, 0, 2579, 0,
7455 0, 2578, 0, 0, 2578, 2580, 2579, 2579, 2582, 2582,
7456 2582, 2582, 2583, 2583, 2583, 2583, 0, 0, 0, 2582,
7457 2580, 0, 0, 2583, 2582, 0, 0, 0, 2583, 2580,
7458
7459 2584, 2584, 2584, 2584, 0, 0, 0, 0, 0, 2582,
7460 0, 2584, 0, 2583, 0, 2580, 2584, 0, 2582, 0,
7461 0, 0, 2583, 2580, 0, 0, 0, 0, 0, 0,
7462 0, 2584, 0, 0, 2582, 0, 0, 0, 2583, 0,
7463 2584, 0, 2582, 0, 0, 0, 2583, 2585, 2585, 2585,
7464 2585, 2586, 2586, 2586, 2586, 0, 2584, 0, 2585, 0,
7465 0, 0, 2586, 2585, 2584, 0, 0, 2586, 2587, 2587,
7466 2587, 2587, 0, 2588, 2588, 2588, 2588, 0, 2585, 2587,
7467 0, 0, 2586, 0, 0, 0, 0, 2585, 0, 2588,
7468 0, 2586, 0, 0, 0, 2847, 2847, 2847, 2847, 2587,
7469
7470 0, 0, 0, 2585, 2588, 0, 2847, 2586, 2587, 0,
7471 2588, 2585, 0, 2588, 0, 2586, 0, 2589, 2589, 2589,
7472 2589, 0, 0, 0, 2587, 0, 2847, 0, 0, 2588,
7473 0, 0, 2587, 2589, 2588, 2847, 0, 2588, 2590, 2590,
7474 2590, 2590, 0, 0, 2591, 2591, 2591, 2591, 2589, 2590,
7475 0, 2847, 0, 0, 2590, 2591, 0, 2589, 0, 2847,
7476 2591, 2592, 2592, 2592, 2592, 0, 0, 0, 0, 2590,
7477 0, 0, 0, 2589, 0, 2591, 0, 2592, 2590, 0,
7478 0, 2589, 0, 0, 2591, 0, 0, 2593, 2593, 2593,
7479 2593, 0, 2592, 0, 2590, 0, 0, 0, 2593, 0,
7480
7481 2591, 2592, 2590, 2593, 2594, 2594, 2594, 2594, 2591, 0,
7482 2595, 2595, 2595, 2595, 0, 2594, 0, 2592, 2593, 0,
7483 2594, 2596, 2596, 2596, 2596, 2592, 2595, 2593, 0, 0,
7484 0, 2597, 2597, 2597, 2597, 2594, 0, 2596, 0, 0,
7485 0, 2595, 0, 2593, 2594, 0, 0, 2597, 0, 0,
7486 2595, 2593, 2596, 2598, 2598, 2598, 2598, 0, 2596, 0,
7487 2594, 2596, 2597, 0, 2598, 0, 2595, 0, 2594, 2598,
7488 0, 2597, 0, 0, 2595, 0, 0, 2596, 0, 0,
7489 0, 0, 2596, 0, 2598, 2596, 0, 2597, 2599, 2599,
7490 2599, 2599, 0, 2598, 0, 2597, 0, 0, 0, 2599,
7491
7492 2600, 2600, 2600, 2600, 2599, 2602, 2602, 2602, 2602, 2598,
7493 2603, 2603, 2603, 2603, 0, 0, 2600, 2598, 0, 2599,
7494 0, 2602, 0, 0, 0, 0, 2603, 0, 2599, 0,
7495 0, 2600, 0, 0, 2602, 0, 2602, 0, 0, 0,
7496 2600, 2603, 0, 0, 2599, 2602, 0, 0, 0, 0,
7497 2603, 0, 2599, 2603, 0, 0, 2600, 0, 0, 2602,
7498 0, 2602, 0, 0, 2600, 0, 2603, 0, 0, 2602,
7499 2604, 2604, 2604, 2604, 2603, 0, 0, 2603, 0, 0,
7500 0, 2605, 2605, 2605, 2605, 0, 2604, 2612, 2612, 2612,
7501 2612, 2614, 2614, 2614, 2614, 0, 0, 2605, 0, 0,
7502
7503 0, 2604, 0, 2612, 0, 0, 0, 2614, 0, 0,
7504 2604, 2604, 2605, 0, 2612, 0, 2612, 2613, 2613, 2613,
7505 2613, 2605, 2614, 0, 0, 2612, 2604, 0, 0, 0,
7506 2614, 2614, 0, 2613, 2604, 2604, 0, 2605, 0, 2612,
7507 0, 2612, 0, 0, 0, 2605, 2613, 2614, 2613, 2612,
7508 0, 0, 0, 0, 2614, 2614, 0, 2613, 2615, 2615,
7509 2615, 2615, 2616, 2616, 2616, 2616, 0, 0, 0, 0,
7510 0, 2613, 0, 2613, 2615, 0, 0, 0, 2616, 0,
7511 0, 2613, 0, 0, 0, 0, 0, 0, 0, 2615,
7512 0, 0, 0, 2616, 2617, 2617, 2617, 2617, 2615, 0,
7513
7514 2616, 0, 2616, 0, 0, 2618, 2618, 2618, 2618, 0,
7515 2617, 0, 0, 0, 2615, 0, 0, 0, 2616, 0,
7516 0, 2618, 2615, 0, 2616, 2617, 2616, 0, 0, 0,
7517 0, 0, 0, 0, 2617, 2617, 2618, 2619, 2619, 2619,
7518 2619, 2622, 2622, 2622, 2622, 2618, 2618, 0, 0, 0,
7519 2617, 0, 0, 2619, 0, 0, 0, 2622, 2617, 2617,
7520 0, 2618, 0, 0, 2623, 2623, 2623, 2623, 2619, 2618,
7521 2618, 0, 2622, 0, 2619, 0, 0, 2619, 0, 0,
7522 2623, 2622, 0, 2624, 2624, 2624, 2624, 0, 0, 0,
7523 0, 0, 0, 2619, 0, 2623, 0, 2622, 2619, 2624,
7524
7525 0, 2619, 2623, 0, 2623, 2622, 0, 0, 0, 2625,
7526 2625, 2625, 2625, 0, 2624, 2626, 2626, 2626, 2626, 0,
7527 2623, 0, 0, 2624, 2624, 2625, 2623, 0, 2623, 0,
7528 0, 2626, 0, 0, 0, 2627, 2627, 2627, 2627, 2624,
7529 2625, 2628, 2628, 2628, 2628, 0, 2626, 2624, 2624, 2625,
7530 2625, 2627, 2626, 0, 0, 2626, 0, 2628, 0, 0,
7531 0, 2629, 2629, 2629, 2629, 2625, 2627, 0, 2627, 0,
7532 0, 2626, 2628, 2625, 2625, 2627, 2626, 2629, 2628, 2626,
7533 0, 2628, 0, 0, 2630, 2630, 2630, 2630, 0, 0,
7534 0, 2627, 2629, 2627, 0, 0, 0, 2628, 2629, 2627,
7535
7536 2630, 2629, 2628, 0, 0, 2628, 0, 0, 0, 0,
7537 2631, 2631, 2631, 2631, 0, 2630, 0, 2629, 0, 0,
7538 0, 2630, 2629, 0, 2630, 2629, 2631, 2632, 2632, 2632,
7539 2632, 2635, 2635, 2635, 2635, 0, 0, 0, 0, 0,
7540 2630, 2631, 0, 2632, 0, 2630, 0, 2635, 2630, 0,
7541 2631, 2631, 0, 2636, 2636, 2636, 2636, 0, 2632, 0,
7542 2635, 0, 2635, 0, 2632, 0, 2631, 2632, 0, 2636,
7543 0, 2635, 0, 0, 2631, 2631, 0, 0, 0, 2861,
7544 2861, 2861, 2861, 2632, 2636, 2635, 0, 2635, 2632, 0,
7545 2861, 2632, 2636, 2636, 0, 2635, 2637, 2637, 2637, 2637,
7546
7547 0, 0, 2638, 2638, 2638, 2638, 0, 0, 0, 2636,
7548 2861, 0, 2637, 0, 0, 0, 2636, 2636, 2638, 2861,
7549 0, 0, 2639, 2639, 2639, 2639, 0, 2637, 2644, 2644,
7550 2644, 2644, 0, 2638, 0, 2861, 2637, 0, 2639, 0,
7551 2638, 0, 2638, 2861, 2644, 2645, 2645, 2645, 2645, 0,
7552 0, 0, 2637, 2639, 0, 0, 0, 0, 2638, 2644,
7553 2637, 2645, 2639, 2639, 2638, 0, 2638, 0, 2644, 2644,
7554 0, 0, 2646, 2646, 2646, 2646, 2645, 0, 2639, 0,
7555 0, 0, 2645, 0, 2644, 2645, 2639, 2639, 2646, 0,
7556 0, 0, 2644, 2644, 2647, 2647, 2647, 2647, 0, 0,
7557
7558 0, 2645, 0, 2646, 0, 2646, 2645, 0, 0, 2645,
7559 2647, 0, 2646, 0, 0, 0, 2648, 2648, 2648, 2648,
7560 0, 0, 0, 0, 0, 2647, 0, 0, 2646, 0,
7561 2646, 2647, 2648, 0, 2647, 0, 2646, 0, 2649, 2649,
7562 2649, 2649, 0, 0, 0, 0, 0, 2648, 0, 0,
7563 2647, 0, 0, 2648, 2649, 2647, 2648, 0, 2647, 0,
7564 2653, 2653, 2653, 2653, 0, 0, 0, 0, 0, 2649,
7565 0, 0, 2648, 0, 0, 2649, 2653, 2648, 2649, 0,
7566 2648, 0, 0, 0, 0, 0, 0, 0, 0, 0,
7567 0, 2653, 0, 0, 2649, 0, 0, 0, 0, 2649,
7568
7569 2653, 2653, 2649, 2650, 2650, 2650, 2650, 0, 0, 0,
7570 0, 0, 0, 0, 2650, 0, 2653, 0, 0, 2650,
7571 0, 0, 0, 0, 2653, 2653, 0, 0, 0, 0,
7572 0, 0, 0, 0, 2650, 0, 0, 0, 0, 0,
7573 0, 0, 2650, 2650, 0, 2654, 2654, 2654, 2654, 0,
7574 0, 0, 0, 0, 0, 0, 0, 0, 0, 2650,
7575 0, 2654, 2655, 2655, 2655, 2655, 2650, 2650, 2651, 2651,
7576 2651, 2651, 0, 0, 0, 0, 2654, 0, 2655, 2651,
7577 0, 0, 2654, 0, 2651, 2654, 0, 0, 2656, 2656,
7578 2656, 2656, 0, 2655, 0, 2655, 0, 0, 0, 2651,
7579
7580 0, 2654, 2655, 0, 2656, 0, 2654, 2651, 2651, 2654,
7581 0, 2657, 2657, 2657, 2657, 0, 0, 0, 2655, 2656,
7582 2655, 0, 0, 0, 2651, 2656, 2655, 2657, 2656, 0,
7583 0, 2651, 2651, 0, 2658, 2658, 2658, 2658, 0, 0,
7584 0, 0, 2657, 0, 2656, 0, 0, 0, 2657, 2656,
7585 2658, 2657, 2656, 0, 2662, 2662, 2662, 2662, 0, 0,
7586 0, 0, 0, 0, 0, 2658, 0, 2657, 0, 0,
7587 2662, 2658, 2657, 0, 2658, 2657, 2663, 2663, 2663, 2663,
7588 2664, 2664, 2664, 2664, 0, 2662, 0, 0, 0, 0,
7589 2658, 2662, 2663, 0, 2662, 2658, 0, 0, 2658, 0,
7590
7591 0, 0, 0, 2665, 2665, 2665, 2665, 2663, 0, 0,
7592 2662, 2664, 0, 2663, 2665, 2662, 2663, 2664, 2662, 2665,
7593 2664, 0, 2666, 2666, 2666, 2666, 2672, 2672, 2672, 2672,
7594 0, 0, 2663, 2666, 2665, 0, 2664, 2663, 2666, 0,
7595 2663, 2664, 2672, 2665, 2664, 2668, 2668, 2668, 2668, 2669,
7596 2669, 2669, 2669, 2666, 0, 0, 2668, 2672, 0, 2665,
7597 2669, 2668, 2666, 0, 2672, 2669, 2672, 2665, 0, 0,
7598 0, 2673, 2673, 2673, 2673, 0, 2668, 0, 2666, 0,
7599 2669, 0, 2672, 0, 0, 2668, 2666, 2673, 2672, 2669,
7600 2672, 2674, 2674, 2674, 2674, 0, 0, 2705, 2705, 2705,
7601
7602 2705, 2668, 2673, 0, 0, 2669, 0, 2674, 0, 2668,
7603 0, 2673, 2673, 2669, 0, 0, 0, 0, 0, 0,
7604 2674, 0, 2674, 2675, 2675, 2675, 2675, 2673, 2705, 0,
7605 0, 2674, 0, 0, 2705, 2673, 2673, 2705, 0, 2675,
7606 2676, 2676, 2676, 2676, 0, 2674, 0, 2674, 0, 0,
7607 0, 0, 0, 2705, 2675, 2674, 2676, 0, 2705, 0,
7608 0, 2705, 0, 2675, 0, 0, 2675, 0, 0, 0,
7609 0, 2676, 0, 2676, 0, 2677, 2677, 2677, 2677, 2675,
7610 2676, 0, 0, 0, 0, 0, 0, 2675, 0, 0,
7611 2675, 2677, 2678, 2678, 2678, 2678, 2676, 0, 2676, 0,
7612
7613 0, 0, 0, 0, 2676, 0, 2677, 0, 2678, 0,
7614 0, 0, 2677, 0, 0, 2677, 0, 0, 2679, 2679,
7615 2679, 2679, 0, 2678, 0, 0, 0, 0, 0, 2678,
7616 0, 2677, 2678, 0, 2679, 0, 2677, 0, 0, 2677,
7617 0, 2680, 2680, 2680, 2680, 0, 0, 0, 2678, 2679,
7618 0, 0, 0, 2678, 0, 2679, 2678, 2680, 2679, 2683,
7619 2683, 2683, 2683, 0, 0, 0, 2680, 0, 0, 0,
7620 0, 0, 2680, 0, 2679, 2683, 0, 0, 0, 2679,
7621 0, 2680, 2679, 0, 0, 0, 0, 0, 2683, 0,
7622 2683, 2680, 0, 2684, 2684, 2684, 2684, 2680, 0, 2683,
7623
7624 2686, 2686, 2686, 2686, 0, 2680, 0, 0, 0, 2684,
7625 0, 0, 0, 2683, 0, 2683, 2686, 0, 0, 2685,
7626 2685, 2685, 2685, 2683, 2684, 0, 0, 0, 0, 0,
7627 0, 2686, 0, 2684, 0, 2685, 2684, 2686, 0, 0,
7628 2686, 0, 2687, 2687, 2687, 2687, 0, 0, 0, 2684,
7629 2685, 0, 2685, 0, 0, 0, 2686, 2684, 2687, 2685,
7630 2684, 2686, 0, 0, 2686, 2688, 2688, 2688, 2688, 0,
7631 0, 0, 0, 2687, 0, 2685, 0, 2685, 0, 2687,
7632 0, 2688, 2687, 2685, 2689, 2689, 2689, 2689, 2690, 2690,
7633 2690, 2690, 0, 0, 0, 0, 2688, 0, 2687, 0,
7634
7635 2689, 0, 2688, 2687, 2690, 2688, 2687, 0, 0, 0,
7636 0, 2689, 0, 2690, 0, 2689, 0, 0, 0, 2690,
7637 0, 2688, 0, 0, 2689, 0, 2688, 0, 2690, 2688,
7638 2691, 2691, 2691, 2691, 0, 0, 2689, 0, 2690, 0,
7639 2689, 0, 0, 0, 2690, 0, 2691, 0, 2689, 0,
7640 0, 0, 2690, 2692, 2692, 2692, 2692, 0, 0, 0,
7641 0, 2691, 0, 2691, 2693, 2693, 2693, 2693, 0, 2692,
7642 2691, 2694, 2694, 2694, 2694, 0, 0, 0, 0, 0,
7643 2693, 0, 0, 0, 2692, 0, 2691, 2694, 2691, 0,
7644 2692, 0, 0, 2692, 2691, 2693, 0, 0, 0, 0,
7645
7646 0, 2693, 2694, 0, 2693, 0, 0, 0, 2694, 2692,
7647 0, 2694, 0, 0, 2692, 0, 0, 2692, 0, 0,
7648 2693, 2695, 2695, 2695, 2695, 2693, 0, 2694, 2693, 0,
7649 0, 0, 2694, 0, 0, 2694, 0, 2695, 2698, 2698,
7650 2698, 2698, 2699, 2699, 2699, 2699, 2695, 0, 0, 0,
7651 0, 0, 2695, 0, 2698, 0, 0, 0, 2699, 0,
7652 0, 2695, 0, 0, 2700, 2700, 2700, 2700, 0, 2698,
7653 0, 2695, 0, 2699, 0, 0, 2698, 2695, 2698, 0,
7654 2700, 0, 2699, 2699, 0, 2695, 0, 0, 0, 0,
7655 0, 0, 0, 2700, 2698, 2700, 0, 0, 2699, 0,
7656
7657 2698, 0, 2698, 0, 2700, 0, 2699, 2699, 2701, 2701,
7658 2701, 2701, 0, 2703, 2703, 2703, 2703, 0, 2700, 0,
7659 2700, 0, 0, 0, 2701, 0, 0, 0, 2700, 2703,
7660 2704, 2704, 2704, 2704, 0, 0, 0, 0, 0, 2701,
7661 2709, 2709, 2709, 2709, 2703, 0, 2704, 0, 2701, 0,
7662 2703, 2701, 0, 2703, 0, 0, 2709, 0, 0, 0,
7663 0, 2704, 0, 0, 2701, 0, 0, 2704, 0, 2703,
7664 2704, 2709, 2701, 2709, 2703, 2701, 0, 2703, 0, 0,
7665 2709, 2710, 2710, 2710, 2710, 0, 2704, 0, 0, 0,
7666 0, 2704, 0, 0, 2704, 0, 2709, 2710, 2709, 2711,
7667
7668 2711, 2711, 2711, 0, 2709, 0, 0, 0, 0, 0,
7669 0, 0, 2710, 0, 0, 2711, 0, 0, 2710, 0,
7670 0, 2710, 2712, 2712, 2712, 2712, 0, 0, 0, 0,
7671 2711, 0, 0, 0, 0, 0, 2711, 2710, 2712, 2711,
7672 0, 0, 2710, 0, 0, 2710, 0, 0, 2713, 2713,
7673 2713, 2713, 0, 2712, 0, 2711, 0, 0, 0, 2712,
7674 2711, 0, 2712, 2711, 2713, 2714, 2714, 2714, 2714, 0,
7675 0, 2715, 2715, 2715, 2715, 2713, 0, 0, 2712, 2713,
7676 0, 2714, 2715, 2712, 0, 0, 2712, 2715, 2713, 0,
7677 2714, 0, 2716, 2716, 2716, 2716, 2714, 0, 0, 0,
7678
7679 2713, 0, 2715, 2716, 2713, 2714, 0, 0, 2716, 0,
7680 0, 2715, 2713, 0, 0, 2714, 0, 0, 0, 0,
7681 0, 2714, 0, 2716, 0, 0, 0, 2715, 0, 2714,
7682 0, 0, 2716, 0, 0, 2715, 2717, 2717, 2717, 2717,
7683 0, 0, 2718, 2718, 2718, 2718, 0, 0, 2716, 0,
7684 0, 0, 2717, 2718, 0, 0, 2716, 0, 2718, 2719,
7685 2719, 2719, 2719, 2720, 2720, 2720, 2720, 2717, 0, 0,
7686 2719, 0, 0, 2718, 0, 2719, 2717, 0, 0, 2720,
7687 0, 0, 2718, 0, 0, 2722, 2722, 2722, 2722, 0,
7688 2719, 0, 2717, 0, 2720, 0, 0, 0, 2718, 2719,
7689
7690 2717, 2722, 0, 2720, 0, 0, 2718, 0, 2723, 2723,
7691 2723, 2723, 0, 0, 0, 2719, 2722, 0, 2722, 2720,
7692 0, 0, 0, 2719, 2723, 2722, 0, 2720, 2724, 2724,
7693 2724, 2724, 0, 0, 0, 0, 0, 0, 0, 2723,
7694 0, 2722, 0, 2722, 2724, 2723, 0, 0, 2723, 2722,
7695 2725, 2725, 2725, 2725, 0, 0, 0, 0, 0, 2724,
7696 2727, 2727, 2727, 2727, 2723, 2724, 2725, 0, 2724, 2723,
7697 0, 0, 2723, 0, 0, 0, 2727, 2726, 2726, 2726,
7698 2726, 2725, 0, 0, 2724, 2727, 0, 2725, 0, 2724,
7699 2725, 2727, 2724, 2726, 0, 0, 0, 0, 0, 0,
7700
7701 2727, 0, 0, 0, 2726, 0, 2725, 0, 2726, 0,
7702 2727, 2725, 0, 0, 2725, 0, 2727, 2726, 2729, 2729,
7703 2729, 2729, 0, 0, 2727, 2731, 2731, 2731, 2731, 2726,
7704 0, 0, 0, 2726, 2729, 2730, 2730, 2730, 2730, 0,
7705 0, 2726, 0, 0, 0, 2735, 2735, 2735, 2735, 2729,
7706 0, 2730, 0, 0, 0, 2729, 2731, 0, 2729, 0,
7707 0, 2735, 2731, 0, 0, 2731, 2730, 0, 0, 0,
7708 0, 0, 2730, 0, 2729, 2730, 2735, 0, 0, 2729,
7709 0, 2731, 2729, 0, 0, 2735, 2731, 0, 2735, 2731,
7710 0, 2730, 2734, 2734, 2734, 2734, 2730, 0, 0, 2730,
7711
7712 0, 2735, 2736, 2736, 2736, 2736, 0, 0, 2734, 2735,
7713 0, 0, 2735, 2737, 2737, 2737, 2737, 0, 2736, 0,
7714 0, 2734, 0, 2734, 2738, 2738, 2738, 2738, 0, 2737,
7715 0, 0, 2734, 2736, 0, 0, 0, 0, 0, 0,
7716 2738, 0, 2736, 2736, 2737, 0, 2734, 0, 2734, 0,
7717 2737, 2738, 0, 2737, 0, 2738, 2734, 0, 2736, 2740,
7718 2740, 2740, 2740, 0, 2738, 0, 2736, 2736, 0, 2737,
7719 2741, 2741, 2741, 2741, 2737, 2740, 2738, 2737, 0, 0,
7720 2738, 0, 0, 0, 2740, 0, 2741, 0, 2738, 0,
7721 2740, 2745, 2745, 2745, 2745, 0, 0, 0, 0, 2740,
7722
7723 0, 2741, 2746, 2746, 2746, 2746, 0, 2745, 0, 2740,
7724 2741, 0, 0, 0, 0, 2740, 0, 0, 2746, 0,
7725 0, 0, 2745, 2740, 0, 0, 2741, 0, 0, 0,
7726 0, 2745, 2745, 2746, 2741, 0, 0, 0, 0, 2746,
7727 0, 0, 2746, 2747, 2747, 2747, 2747, 2745, 2748, 2748,
7728 2748, 2748, 0, 0, 0, 2745, 2745, 0, 2746, 2747,
7729 0, 0, 0, 2746, 2748, 0, 2746, 0, 0, 0,
7730 2747, 0, 0, 0, 2747, 2749, 2749, 2749, 2749, 2748,
7731 0, 0, 0, 2747, 0, 0, 0, 0, 2748, 0,
7732 0, 2749, 0, 0, 0, 2747, 0, 0, 0, 2747,
7733
7734 0, 0, 0, 0, 2748, 0, 2749, 2747, 0, 0,
7735 0, 0, 2748, 0, 0, 2749, 2750, 2750, 2750, 2750,
7736 2751, 2751, 2751, 2751, 0, 0, 2752, 2752, 2752, 2752,
7737 0, 2749, 2750, 0, 0, 0, 2751, 0, 0, 2749,
7738 0, 0, 2752, 2750, 0, 2751, 0, 2750, 0, 0,
7739 0, 2751, 0, 0, 0, 0, 2750, 2752, 0, 0,
7740 2751, 0, 2755, 2755, 2755, 2755, 2752, 0, 2750, 0,
7741 2751, 0, 2750, 0, 0, 0, 2751, 0, 2755, 0,
7742 2750, 0, 2752, 0, 2751, 0, 0, 0, 0, 0,
7743 2752, 2755, 0, 2755, 2756, 2756, 2756, 2756, 2757, 2757,
7744
7745 2757, 2757, 2755, 0, 2758, 2758, 2758, 2758, 0, 0,
7746 2756, 0, 0, 0, 2757, 0, 2755, 0, 2755, 0,
7747 2758, 0, 0, 0, 0, 2756, 2755, 0, 0, 2757,
7748 0, 0, 0, 0, 2756, 2758, 0, 2756, 2757, 2757,
7749 0, 2758, 0, 0, 2758, 0, 0, 0, 0, 0,
7750 2756, 0, 0, 0, 2757, 0, 0, 0, 2756, 0,
7751 2758, 2756, 2757, 2757, 0, 2758, 0, 0, 2758, 2763,
7752 2763, 2763, 2763, 2764, 2764, 2764, 2764, 0, 0, 2765,
7753 2765, 2765, 2765, 0, 0, 2763, 0, 0, 0, 2764,
7754 0, 0, 0, 0, 0, 2765, 2763, 0, 0, 0,
7755
7756 2763, 0, 0, 0, 2764, 0, 0, 0, 0, 2763,
7757 2765, 0, 0, 2764, 2766, 2766, 2766, 2766, 0, 2765,
7758 0, 2763, 0, 0, 0, 2763, 0, 0, 0, 2764,
7759 2766, 0, 0, 2763, 0, 2765, 0, 2764, 0, 0,
7760 0, 2766, 0, 2765, 0, 2766, 2768, 2768, 2768, 2768,
7761 0, 0, 0, 0, 2766, 0, 0, 2769, 2769, 2769,
7762 2769, 0, 2768, 0, 0, 0, 2766, 0, 0, 0,
7763 2766, 2768, 0, 2769, 0, 0, 0, 2768, 2766, 0,
7764 0, 0, 0, 0, 0, 0, 2768, 0, 2769, 2770,
7765 2770, 2770, 2770, 0, 0, 0, 2768, 2769, 0, 0,
7766
7767 0, 0, 2768, 0, 0, 2770, 2775, 2775, 2775, 2775,
7768 2768, 0, 0, 2769, 0, 0, 0, 0, 0, 0,
7769 2770, 2769, 2775, 2776, 2776, 2776, 2776, 0, 0, 2770,
7770 0, 0, 0, 2777, 2777, 2777, 2777, 2775, 0, 2776,
7771 0, 0, 0, 0, 0, 2770, 2775, 2775, 0, 2777,
7772 0, 0, 0, 2770, 2776, 0, 0, 0, 0, 0,
7773 2776, 0, 2775, 2776, 2777, 0, 2777, 0, 0, 0,
7774 2775, 2775, 0, 2777, 2778, 2778, 2778, 2778, 0, 2776,
7775 0, 0, 0, 0, 2776, 0, 0, 2776, 0, 2777,
7776 2778, 2777, 2779, 2779, 2779, 2779, 0, 2777, 0, 0,
7777
7778 0, 0, 0, 0, 0, 2778, 0, 0, 2779, 0,
7779 0, 2778, 0, 0, 2778, 2780, 2780, 2780, 2780, 0,
7780 0, 0, 0, 2779, 0, 0, 0, 0, 0, 2779,
7781 2778, 2780, 2779, 0, 0, 2778, 0, 0, 2778, 0,
7782 2780, 2781, 2781, 2781, 2781, 0, 2780, 0, 2779, 0,
7783 0, 0, 0, 2779, 0, 2780, 2779, 2781, 2783, 2783,
7784 2783, 2783, 0, 0, 0, 2780, 0, 0, 0, 0,
7785 0, 2780, 2781, 0, 2783, 0, 0, 0, 2781, 2780,
7786 0, 2781, 0, 0, 0, 0, 0, 0, 0, 2783,
7787 0, 0, 0, 0, 0, 0, 0, 2781, 2783, 0,
7788
7789 0, 0, 2781, 0, 0, 2781, 0, 0, 0, 0,
7790 0, 0, 0, 0, 2783, 0, 2787, 2787, 2787, 2787,
7791 0, 0, 2783, 2784, 2784, 2784, 2784, 2788, 2788, 2788,
7792 2788, 0, 2787, 0, 2784, 0, 0, 0, 0, 2784,
7793 0, 0, 0, 2788, 0, 0, 0, 2787, 0, 2787,
7794 2790, 2790, 2790, 2790, 2784, 0, 2787, 0, 2788, 0,
7795 0, 0, 2784, 2784, 2788, 0, 2790, 2788, 2789, 2789,
7796 2789, 2789, 2787, 0, 2787, 2790, 0, 0, 0, 2784,
7797 2787, 2790, 0, 2788, 2789, 0, 2784, 2784, 2788, 0,
7798 2790, 2788, 0, 0, 2791, 2791, 2791, 2791, 0, 2789,
7799
7800 2790, 0, 0, 0, 0, 2789, 2790, 0, 2789, 0,
7801 2791, 0, 0, 0, 2790, 0, 0, 2793, 2793, 2793,
7802 2793, 0, 0, 0, 2789, 2791, 0, 0, 0, 2789,
7803 0, 2791, 2789, 2793, 2791, 0, 2794, 2794, 2794, 2794,
7804 0, 0, 0, 0, 0, 0, 0, 0, 2793, 0,
7805 2791, 0, 2794, 0, 2793, 2791, 0, 2793, 2791, 2792,
7806 2792, 2792, 2792, 0, 0, 0, 0, 2794, 0, 0,
7807 2792, 0, 0, 2793, 0, 2792, 2794, 0, 2793, 0,
7808 0, 2793, 0, 0, 0, 0, 0, 0, 0, 0,
7809 2792, 0, 2794, 0, 0, 0, 0, 0, 2792, 2792,
7810
7811 2794, 2797, 2797, 2797, 2797, 0, 0, 0, 0, 0,
7812 0, 0, 0, 0, 0, 2792, 0, 2797, 0, 0,
7813 0, 0, 2792, 2792, 2795, 2795, 2795, 2795, 0, 0,
7814 0, 0, 2797, 0, 0, 2795, 2798, 2798, 2798, 2798,
7815 2795, 2797, 2797, 0, 0, 0, 2799, 2799, 2799, 2799,
7816 0, 0, 2798, 0, 0, 2795, 0, 2797, 2800, 2800,
7817 2800, 2800, 2799, 2795, 2795, 2797, 2797, 2798, 2801, 2801,
7818 2801, 2801, 0, 2798, 2800, 0, 2798, 2799, 0, 2799,
7819 2795, 0, 0, 0, 2801, 0, 2799, 2795, 2795, 2800,
7820 0, 0, 2798, 0, 0, 2800, 0, 2798, 2800, 2801,
7821
7822 2798, 0, 2799, 0, 2799, 2801, 0, 0, 2801, 0,
7823 2799, 0, 0, 0, 2800, 2802, 2802, 2802, 2802, 2800,
7824 0, 0, 2800, 0, 2801, 0, 0, 0, 0, 2801,
7825 0, 2802, 2801, 2806, 2806, 2806, 2806, 0, 0, 0,
7826 2802, 0, 0, 0, 0, 0, 2802, 0, 0, 2806,
7827 0, 2808, 2808, 2808, 2808, 2802, 0, 0, 0, 0,
7828 0, 0, 0, 0, 2806, 2802, 0, 2808, 0, 0,
7829 2806, 2802, 0, 2806, 0, 0, 0, 0, 0, 2802,
7830 0, 0, 2808, 0, 0, 0, 0, 0, 2808, 2806,
7831 0, 2808, 0, 0, 2806, 0, 0, 2806, 2807, 2807,
7832
7833 2807, 2807, 2810, 2810, 2810, 2810, 0, 2808, 0, 2807,
7834 0, 0, 2808, 0, 2807, 2808, 0, 0, 2810, 0,
7835 0, 0, 0, 0, 2815, 2815, 2815, 2815, 0, 2807,
7836 0, 0, 0, 2810, 0, 0, 0, 2807, 2807, 0,
7837 2815, 0, 2810, 0, 2816, 2816, 2816, 2816, 0, 0,
7838 0, 0, 0, 0, 2807, 2815, 0, 2815, 2810, 0,
7839 2816, 2807, 2807, 0, 2815, 0, 2810, 2811, 2811, 2811,
7840 2811, 0, 0, 0, 0, 2816, 0, 0, 2811, 0,
7841 2815, 2816, 2815, 2811, 2816, 0, 0, 0, 2815, 0,
7842 0, 0, 0, 0, 2818, 2818, 2818, 2818, 2811, 0,
7843
7844 2816, 2817, 2817, 2817, 2817, 2816, 2811, 2811, 2816, 0,
7845 2818, 2819, 2819, 2819, 2819, 0, 0, 2817, 0, 0,
7846 0, 2818, 0, 2811, 0, 2818, 0, 2819, 0, 0,
7847 2811, 2811, 2817, 0, 2818, 0, 0, 0, 2817, 0,
7848 0, 2817, 2819, 0, 0, 0, 2818, 0, 0, 0,
7849 2818, 2819, 0, 2822, 2822, 2822, 2822, 2817, 2818, 0,
7850 0, 0, 2817, 0, 2822, 2817, 0, 2819, 0, 2822,
7851 2823, 2823, 2823, 2823, 0, 2819, 2821, 2821, 2821, 2821,
7852 0, 2823, 0, 0, 2822, 0, 2823, 2821, 2824, 2824,
7853 2824, 2824, 2821, 2822, 0, 0, 0, 0, 0, 2824,
7854
7855 0, 2823, 0, 0, 2824, 0, 0, 2821, 0, 2822,
7856 2823, 2825, 2825, 2825, 2825, 2821, 2821, 2822, 0, 2824,
7857 0, 0, 2828, 2828, 2828, 2828, 2823, 2825, 2824, 0,
7858 0, 0, 2821, 0, 2823, 0, 0, 0, 2828, 2821,
7859 2821, 0, 2825, 0, 2824, 2829, 2829, 2829, 2829, 2828,
7860 0, 2825, 2824, 2828, 0, 0, 0, 2830, 2830, 2830,
7861 2830, 2829, 2828, 0, 0, 0, 0, 2825, 2830, 0,
7862 0, 0, 0, 2830, 2828, 2825, 2829, 0, 2828, 2831,
7863 2831, 2831, 2831, 0, 0, 2829, 2828, 0, 2830, 0,
7864 2831, 2832, 2832, 2832, 2832, 2831, 0, 2830, 0, 0,
7865
7866 0, 2829, 2832, 0, 0, 0, 0, 2832, 0, 2829,
7867 2831, 0, 0, 2830, 2833, 2833, 2833, 2833, 0, 2831,
7868 0, 2830, 2832, 0, 0, 0, 0, 0, 0, 0,
7869 2833, 2832, 0, 0, 0, 2831, 0, 2835, 2835, 2835,
7870 2835, 0, 0, 2831, 0, 2833, 0, 2832, 2835, 0,
7871 0, 0, 0, 2835, 2833, 2832, 2836, 2836, 2836, 2836,
7872 2837, 2837, 2837, 2837, 0, 0, 0, 2836, 2835, 0,
7873 2833, 2837, 2836, 0, 0, 0, 2837, 2835, 2833, 2834,
7874 2834, 2834, 2834, 2844, 2844, 2844, 2844, 2836, 0, 0,
7875 2834, 2837, 0, 2835, 0, 2834, 2836, 0, 0, 2844,
7876
7877 2837, 2835, 0, 0, 0, 2840, 2840, 2840, 2840, 0,
7878 2834, 0, 2836, 0, 2844, 0, 2837, 0, 2834, 2834,
7879 2836, 2840, 0, 2844, 2837, 2841, 2841, 2841, 2841, 0,
7880 0, 0, 0, 0, 0, 2834, 2840, 0, 2840, 2844,
7881 0, 2841, 2834, 2834, 0, 2840, 0, 2844, 2842, 2842,
7882 2842, 2842, 0, 0, 0, 0, 2841, 0, 0, 0,
7883 0, 2840, 2841, 2840, 2842, 2841, 0, 0, 0, 2840,
7884 0, 2843, 2843, 2843, 2843, 0, 0, 0, 0, 2842,
7885 0, 2841, 0, 0, 0, 2842, 2841, 2843, 2842, 2841,
7886 2845, 2845, 2845, 2845, 2846, 2846, 2846, 2846, 2843, 0,
7887
7888 0, 2845, 2843, 0, 2842, 2846, 2845, 0, 0, 2842,
7889 2846, 2843, 2842, 2849, 2849, 2849, 2849, 2850, 2850, 2850,
7890 2850, 2845, 0, 2843, 2849, 2846, 0, 2843, 2850, 2849,
7891 2845, 0, 0, 2850, 2846, 2843, 2851, 2851, 2851, 2851,
7892 0, 0, 0, 0, 2849, 0, 2845, 2851, 2850, 0,
7893 2846, 0, 2851, 2849, 2845, 0, 0, 2850, 2846, 2855,
7894 2855, 2855, 2855, 0, 0, 0, 0, 2851, 0, 2849,
7895 2855, 0, 0, 2850, 0, 2855, 2851, 2849, 0, 0,
7896 0, 2850, 2856, 2856, 2856, 2856, 0, 0, 0, 0,
7897 2855, 0, 2851, 2856, 2857, 2857, 2857, 2857, 2856, 2855,
7898
7899 2851, 2854, 2854, 2854, 2854, 2857, 0, 0, 0, 0,
7900 2857, 0, 2854, 2856, 0, 2855, 0, 2854, 0, 0,
7901 0, 0, 2856, 2855, 0, 2857, 0, 0, 2859, 2859,
7902 2859, 2859, 2854, 0, 2857, 0, 0, 0, 2856, 2859,
7903 2854, 2854, 0, 0, 2859, 0, 2856, 0, 0, 0,
7904 2857, 2860, 2860, 2860, 2860, 0, 0, 2854, 2857, 2859,
7905 0, 0, 2860, 0, 2854, 2854, 0, 2860, 2859, 2862,
7906 2862, 2862, 2862, 2863, 2863, 2863, 2863, 0, 0, 0,
7907 0, 0, 2860, 0, 2859, 2862, 0, 0, 0, 2863,
7908 0, 2860, 2859, 0, 0, 0, 2862, 0, 2863, 0,
7909
7910 2862, 0, 0, 0, 2863, 0, 0, 2860, 0, 2862,
7911 0, 0, 0, 2863, 0, 2860, 2864, 2864, 2864, 2864,
7912 0, 2862, 0, 2863, 0, 2862, 0, 0, 0, 2863,
7913 0, 0, 2864, 2862, 0, 0, 0, 2863, 2868, 2868,
7914 2868, 2868, 0, 0, 0, 0, 0, 2864, 0, 2868,
7915 0, 0, 0, 2864, 2868, 0, 2864, 0, 0, 2869,
7916 2869, 2869, 2869, 0, 0, 0, 0, 0, 0, 2868,
7917 2869, 0, 2864, 0, 0, 2869, 0, 2864, 2868, 0,
7918 2864, 2865, 2865, 2865, 2865, 2870, 2870, 2870, 2870, 0,
7919 2869, 0, 2865, 0, 2868, 0, 2870, 2865, 0, 2869,
7920
7921 0, 2870, 2868, 2871, 2871, 2871, 2871, 0, 0, 0,
7922 0, 0, 2865, 0, 0, 2869, 2870, 0, 0, 2871,
7923 2865, 2865, 0, 2869, 0, 2870, 2874, 2874, 2874, 2874,
7924 0, 0, 0, 0, 2871, 0, 0, 2865, 0, 0,
7925 0, 2870, 2874, 2871, 2865, 2865, 0, 0, 0, 2870,
7926 0, 0, 0, 0, 0, 0, 0, 2874, 0, 2871,
7927 0, 0, 0, 2874, 0, 0, 2874, 2871, 2876, 2876,
7928 2876, 2876, 2877, 2877, 2877, 2877, 0, 0, 0, 2876,
7929 0, 0, 2874, 2877, 2876, 0, 0, 2874, 2877, 0,
7930 2874, 2875, 2875, 2875, 2875, 2878, 2878, 2878, 2878, 2876,
7931
7932 0, 0, 2875, 2877, 0, 0, 2878, 2875, 2876, 0,
7933 0, 2878, 2877, 2880, 2880, 2880, 2880, 2881, 2881, 2881,
7934 2881, 0, 2875, 0, 2876, 0, 2878, 0, 2877, 2880,
7935 2875, 2875, 2876, 2881, 0, 2878, 2877, 0, 0, 0,
7936 2880, 0, 2881, 0, 2880, 0, 0, 2875, 2881, 0,
7937 0, 2878, 0, 2880, 2875, 2875, 0, 2881, 0, 2878,
7938 2882, 2882, 2882, 2882, 0, 2880, 0, 2881, 0, 2880,
7939 0, 0, 0, 2881, 0, 0, 2882, 2880, 0, 0,
7940 0, 2881, 2885, 2885, 2885, 2885, 0, 0, 0, 0,
7941 0, 2882, 0, 2885, 0, 0, 0, 2882, 2885, 0,
7942
7943 2882, 0, 0, 2886, 2886, 2886, 2886, 0, 0, 0,
7944 0, 0, 0, 2885, 2886, 0, 2882, 0, 0, 2886,
7945 0, 2882, 2885, 0, 2882, 2883, 2883, 2883, 2883, 2887,
7946 2887, 2887, 2887, 0, 2886, 0, 2883, 0, 2885, 0,
7947 2887, 2883, 0, 2886, 0, 2887, 2885, 2888, 2888, 2888,
7948 2888, 2890, 2890, 2890, 2890, 0, 2883, 0, 2888, 2886,
7949 2887, 0, 2890, 2888, 2883, 2883, 0, 2886, 0, 2887,
7950 0, 0, 0, 0, 0, 0, 0, 0, 2888, 0,
7951 0, 2883, 2890, 0, 0, 2887, 0, 2888, 2883, 2883,
7952 0, 2890, 0, 2887, 2889, 2889, 2889, 2889, 2891, 2891,
7953
7954 2891, 2891, 0, 2888, 0, 2889, 0, 2890, 0, 0,
7955 2889, 2888, 0, 0, 2891, 2890, 2892, 2892, 2892, 2892,
7956 2893, 2893, 2893, 2893, 0, 2889, 0, 0, 0, 2891,
7957 0, 2893, 2892, 0, 2889, 2891, 2893, 0, 2891, 0,
7958 0, 0, 0, 0, 0, 0, 0, 2892, 0, 0,
7959 2889, 2893, 0, 0, 2891, 0, 2892, 0, 2889, 2891,
7960 2893, 0, 2891, 2894, 2894, 2894, 2894, 2895, 2895, 2895,
7961 2895, 0, 2892, 0, 2894, 0, 2893, 0, 0, 2894,
7962 2892, 0, 0, 2895, 2893, 2896, 2896, 2896, 2896, 2897,
7963 2897, 2897, 2897, 0, 2894, 0, 2896, 0, 2895, 0,
7964
7965 2897, 2896, 0, 2894, 0, 2897, 0, 2895, 2898, 2898,
7966 2898, 2898, 2900, 2900, 2900, 2900, 2896, 0, 0, 2894,
7967 2897, 0, 0, 2895, 2898, 2896, 0, 2894, 2900, 2897,
7968 0, 2895, 0, 0, 2899, 2899, 2899, 2899, 0, 2898,
7969 0, 2896, 0, 2900, 0, 2897, 0, 0, 2898, 2896,
7970 2899, 0, 2900, 2897, 2903, 2903, 2903, 2903, 0, 0,
7971 0, 0, 0, 0, 2898, 2899, 0, 0, 2900, 0,
7972 2903, 2899, 2898, 0, 2899, 0, 2900, 2901, 2901, 2901,
7973 2901, 2902, 2902, 2902, 2902, 2903, 0, 0, 2901, 0,
7974 2899, 0, 2902, 2901, 2903, 2899, 0, 2902, 2899, 0,
7975
7976 2906, 2906, 2906, 2906, 2907, 2907, 2907, 2907, 2901, 0,
7977 2903, 2906, 2902, 0, 0, 2907, 2906, 2901, 2903, 0,
7978 2907, 2902, 0, 2908, 2908, 2908, 2908, 2909, 2909, 2909,
7979 2909, 2906, 0, 2901, 0, 2907, 0, 2902, 2909, 2908,
7980 2906, 2901, 0, 2909, 2907, 2902, 2904, 2904, 2904, 2904,
7981 0, 0, 0, 0, 2908, 0, 2906, 2904, 2909, 0,
7982 2907, 0, 2904, 2908, 2906, 0, 0, 2909, 2907, 0,
7983 0, 0, 0, 0, 0, 0, 0, 2904, 0, 2908,
7984 0, 0, 0, 2909, 0, 2904, 2904, 2908, 0, 0,
7985 0, 2909, 2910, 2910, 2910, 2910, 0, 0, 0, 0,
7986
7987 0, 0, 2904, 2910, 2911, 2911, 2911, 2911, 2910, 2904,
7988 2904, 2905, 2905, 2905, 2905, 0, 0, 0, 0, 0,
7989 2911, 0, 2905, 2910, 0, 0, 0, 2905, 0, 0,
7990 0, 0, 2910, 0, 0, 2911, 0, 0, 0, 0,
7991 0, 0, 2905, 0, 2911, 0, 0, 0, 2910, 0,
7992 2905, 2905, 0, 0, 0, 0, 2910, 0, 0, 0,
7993 2911, 0, 0, 0, 0, 0, 0, 2905, 2911, 0,
7994 0, 0, 0, 0, 2905, 2905, 2913, 2913, 2913, 2913,
7995 2913, 2913, 2913, 2913, 2913, 2913, 2913, 2913, 2913, 2913,
7996 2913, 2913, 2913, 2913, 2913, 2913, 2913, 2913, 2914, 2914,
7997
7998 2914, 2914, 2914, 2914, 2914, 2914, 2914, 2914, 2914, 2914,
7999 2914, 2914, 2914, 2914, 2914, 2914, 2914, 2914, 2914, 2914,
8000 2915, 2915, 2915, 2915, 2915, 2915, 2915, 2915, 2915, 2915,
8001 2915, 2915, 2915, 2915, 2915, 2915, 2915, 2915, 2915, 2915,
8002 2915, 2915, 2916, 2916, 2916, 2916, 2916, 2916, 2916, 2916,
8003 2916, 2916, 2916, 2916, 2916, 2916, 2916, 2916, 2916, 2916,
8004 2916, 2916, 2916, 2916, 2917, 2917, 2917, 2917, 2917, 2917,
8005 2917, 2917, 2917, 2917, 2917, 2917, 2917, 2917, 2917, 2917,
8006 2917, 2917, 2917, 2917, 2917, 2917, 2918, 2918, 2918, 2918,
8007 2918, 2918, 2918, 2918, 2918, 2918, 2918, 2918, 2918, 2918,
8008
8009 2918, 2918, 2918, 2918, 2918, 2918, 2918, 2918, 2919, 2919,
8010 2919, 2919, 2919, 2919, 2919, 2919, 2919, 2919, 2919, 2919,
8011 2919, 2919, 2919, 2919, 2919, 2919, 2919, 2919, 2919, 2919,
8012 2920, 2920, 2920, 2920, 2920, 0, 0, 0, 0, 0,
8013 0, 0, 2920, 2920, 2920, 0, 0, 2920, 2920, 2920,
8014 2920, 2921, 2921, 2921, 2921, 2921, 0, 0, 0, 0,
8015 0, 0, 0, 2921, 0, 0, 0, 0, 2921, 2921,
8016 2921, 2921, 2922, 2922, 2922, 2922, 2922, 2922, 2922, 2922,
8017 2922, 2922, 2922, 2922, 2922, 2922, 2922, 2922, 2922, 2922,
8018 2922, 2922, 2922, 2922, 2923, 2923, 2923, 2923, 2924, 2924,
8019
8020 2924, 2924, 0, 0, 0, 0, 0, 0, 0, 0,
8021 0, 2924, 2924, 2924, 0, 2924, 2924, 2924, 2924, 2925,
8022 2925, 2925, 2925, 2925, 0, 0, 0, 0, 2925, 2925,
8023 0, 2925, 2925, 2925, 2925, 0, 2925, 2925, 2925, 2925,
8024 2926, 2926, 0, 2926, 2926, 2926, 2926, 2926, 2926, 2926,
8025 2926, 2926, 2926, 2926, 2926, 2926, 2926, 2926, 2926, 2926,
8026 2926, 2926, 2927, 0, 0, 2927, 0, 0, 2927, 0,
8027 0, 2927, 2927, 2927, 0, 0, 0, 2927, 2927, 0,
8028 2927, 2928, 0, 0, 0, 0, 0, 0, 0, 0,
8029 0, 2928, 0, 0, 2928, 2928, 2928, 2928, 2929, 0,
8030
8031 0, 0, 0, 0, 0, 0, 0, 0, 0, 2929,
8032 0, 0, 2929, 2929, 2929, 2929, 2930, 0, 0, 2930,
8033 0, 0, 2930, 0, 0, 2930, 2930, 2930, 2930, 0,
8034 0, 2930, 2930, 0, 0, 2930, 2931, 2931, 0, 2931,
8035 2931, 2931, 2931, 2931, 2931, 2931, 2931, 2931, 2931, 2931,
8036 2931, 2931, 2931, 2931, 2931, 2931, 2931, 2931, 2932, 0,
8037 0, 0, 0, 2932, 0, 2932, 2932, 2932, 0, 0,
8038 2932, 2932, 2933, 0, 0, 2933, 2933, 2933, 2933, 2934,
8039 2934, 2934, 2934, 2934, 2934, 2934, 2934, 2934, 2934, 2934,
8040 2934, 2934, 2934, 2934, 2934, 0, 0, 2934, 2934, 2934,
8041
8042 2934, 2935, 2935, 2935, 2935, 2935, 2935, 2935, 2935, 0,
8043 0, 2935, 2935, 2935, 2935, 2935, 2935, 2935, 2935, 2935,
8044 2935, 2935, 2935, 2936, 0, 0, 0, 0, 2936, 2936,
8045 0, 2936, 0, 2936, 0, 0, 2936, 2936, 2936, 2936,
8046 2937, 2937, 0, 2937, 2937, 2937, 2937, 2937, 0, 0,
8047 2937, 2937, 0, 0, 2937, 2937, 2937, 2937, 2937, 2937,
8048 2937, 2937, 2938, 2938, 0, 2938, 2938, 2938, 2938, 2938,
8049 2938, 2938, 2938, 2938, 2938, 2938, 2938, 2938, 2938, 2938,
8050 2938, 2938, 2938, 2938, 2939, 2939, 2939, 2939, 2939, 0,
8051 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
8052
8053 0, 2939, 2939, 2939, 2939, 2940, 2940, 2940, 2940, 2940,
8054 0, 0, 0, 0, 2940, 2940, 0, 2940, 0, 2940,
8055 2940, 0, 2940, 2940, 2940, 2940, 2941, 2941, 2941, 2941,
8056 2941, 0, 0, 0, 0, 2941, 2941, 0, 2941, 2941,
8057 2941, 2941, 0, 2941, 2941, 2941, 2941, 2942, 2942, 2942,
8058 2942, 0, 0, 0, 2942, 2942, 0, 0, 0, 0,
8059 2942, 2942, 0, 0, 2942, 2942, 2942, 2942, 2943, 2943,
8060 0, 2943, 2943, 2943, 2943, 2943, 2943, 2943, 2943, 2943,
8061 2943, 2943, 2943, 2943, 2943, 2943, 2943, 2943, 2943, 2943,
8062 2944, 2944, 2944, 2944, 2944, 2944, 2944, 2944, 2944, 2944,
8063
8064 2944, 2944, 2944, 2944, 2944, 2944, 2944, 2944, 2944, 2944,
8065 2944, 2944, 2945, 0, 0, 2945, 2945, 2945, 2945, 2946,
8066 0, 0, 0, 0, 2946, 0, 2946, 2946, 2946, 0,
8067 0, 2946, 2946, 2947, 2947, 2947, 2947, 2947, 0, 0,
8068 0, 0, 2947, 2947, 0, 2947, 2947, 2947, 2947, 0,
8069 2947, 2947, 2947, 2947, 2948, 0, 0, 2948, 0, 0,
8070 2948, 0, 0, 2948, 2948, 2948, 0, 0, 0, 2948,
8071 2948, 0, 2948, 2949, 2949, 0, 2949, 2949, 2949, 2949,
8072 2949, 2949, 2949, 2949, 2949, 2949, 2949, 2949, 2949, 2949,
8073 2949, 2949, 2949, 2949, 2949, 2950, 0, 0, 0, 0,
8074
8075 0, 0, 0, 0, 0, 2950, 0, 0, 2950, 2950,
8076 2950, 2950, 2951, 2951, 0, 2951, 2951, 2951, 2951, 2951,
8077 2951, 2951, 2951, 2951, 2951, 2951, 2951, 2951, 2951, 2951,
8078 2951, 2951, 2951, 2951, 2952, 2952, 0, 2952, 2952, 2952,
8079 2952, 2952, 2952, 2952, 2952, 2952, 2952, 2952, 2952, 2952,
8080 2952, 2952, 2952, 2952, 2952, 2952, 2953, 0, 0, 0,
8081 0, 0, 0, 0, 0, 0, 0, 2953, 0, 0,
8082 2953, 2953, 2953, 2953, 2954, 0, 0, 2954, 0, 0,
8083 2954, 0, 0, 2954, 2954, 2954, 2954, 0, 0, 2954,
8084 2954, 0, 0, 2954, 2955, 2955, 0, 2955, 2955, 2955,
8085
8086 2955, 2955, 2955, 2955, 2955, 2955, 2955, 2955, 2955, 2955,
8087 2955, 2955, 2955, 2955, 2955, 2955, 2956, 0, 0, 0,
8088 0, 2956, 0, 2956, 2956, 2956, 0, 0, 2956, 2956,
8089 2957, 0, 0, 2957, 2957, 2957, 2957, 2958, 2958, 2958,
8090 2958, 2958, 2958, 2958, 2958, 2958, 2958, 2958, 2958, 2958,
8091 2958, 2958, 2958, 0, 0, 2958, 2958, 2958, 2958, 2959,
8092 2959, 2959, 2959, 2959, 2959, 2959, 2959, 2959, 2959, 2959,
8093 2959, 2959, 2959, 2959, 2959, 2959, 2959, 2959, 2959, 2959,
8094 2959, 2960, 2960, 2960, 2960, 2960, 2960, 2960, 2960, 0,
8095 0, 2960, 2960, 2960, 2960, 2960, 2960, 2960, 2960, 2960,
8096
8097 2960, 2960, 2960, 2961, 2961, 2961, 2961, 2961, 2961, 2961,
8098 2961, 2961, 2961, 2961, 2961, 2961, 2961, 2961, 2961, 2961,
8099 2961, 2961, 2961, 2961, 2961, 2962, 0, 0, 0, 0,
8100 2962, 2962, 0, 2962, 0, 2962, 0, 0, 2962, 2962,
8101 2962, 2962, 2963, 2963, 0, 2963, 2963, 2963, 2963, 2963,
8102 0, 0, 2963, 2963, 0, 0, 2963, 2963, 2963, 2963,
8103 2963, 2963, 2963, 2963, 2964, 2964, 0, 2964, 2964, 2964,
8104 2964, 2964, 2964, 2964, 2964, 2964, 2964, 2964, 2964, 2964,
8105 2964, 2964, 2964, 2964, 2964, 2964, 2965, 2965, 0, 2965,
8106 2965, 2965, 2965, 2965, 2965, 2965, 2965, 2965, 2965, 2965,
8107
8108 2965, 2965, 2965, 2965, 2965, 2965, 2965, 2965, 2966, 2966,
8109 2966, 2966, 2966, 0, 0, 0, 0, 2966, 2966, 0,
8110 2966, 0, 2966, 2966, 0, 2966, 2966, 2966, 2966, 2967,
8111 2967, 2967, 2967, 0, 0, 0, 2967, 2967, 0, 0,
8112 0, 0, 2967, 2967, 0, 0, 2967, 2967, 2967, 2967,
8113 2968, 2968, 2968, 2968, 0, 0, 0, 2968, 2968, 0,
8114 0, 0, 0, 2968, 2968, 0, 0, 2968, 2968, 2968,
8115 2968, 2969, 2969, 2969, 2969, 2969, 2969, 2969, 2969, 2969,
8116 2969, 2969, 2969, 2969, 2969, 2969, 2969, 2969, 2969, 2969,
8117 2969, 2969, 2969, 2970, 2970, 2970, 2970, 2970, 2970, 2970,
8118
8119 2970, 2970, 2970, 2970, 2970, 2970, 2970, 2970, 2970, 2970,
8120 2970, 2970, 2970, 2970, 2970, 2971, 0, 0, 2971, 2971,
8121 2971, 2971, 2972, 2972, 2972, 2972, 0, 0, 0, 0,
8122 0, 0, 0, 0, 0, 2972, 2972, 2972, 0, 2972,
8123 2972, 2972, 2972, 2973, 0, 0, 0, 0, 2973, 0,
8124 2973, 2973, 2973, 0, 0, 2973, 2973, 2974, 2974, 2974,
8125 2974, 2974, 0, 0, 0, 0, 2974, 2974, 0, 2974,
8126 2974, 2974, 2974, 0, 2974, 2974, 2974, 2974, 2975, 2975,
8127 0, 2975, 2975, 2975, 2975, 2975, 2975, 2975, 2975, 2975,
8128 2975, 2975, 2975, 2975, 2975, 2975, 2975, 2975, 2975, 2975,
8129
8130 2976, 2976, 0, 2976, 2976, 2976, 2976, 2976, 2976, 2976,
8131 2976, 2976, 2976, 2976, 2976, 2976, 2976, 2976, 2976, 2976,
8132 2976, 2976, 2977, 0, 0, 0, 0, 0, 0, 0,
8133 0, 0, 2977, 0, 0, 2977, 2977, 2977, 2977, 2978,
8134 2978, 2978, 2978, 2978, 2978, 2978, 2978, 2978, 2978, 2978,
8135 2978, 2978, 2978, 2978, 2978, 2978, 2978, 2978, 2978, 2978,
8136 2978, 2979, 2979, 2979, 2979, 2979, 2979, 2979, 2979, 2979,
8137 2979, 2979, 2979, 2979, 2979, 2979, 2979, 2979, 2979, 2979,
8138 2979, 2979, 2979, 2980, 2980, 2980, 2980, 2980, 2980, 2980,
8139 2980, 2980, 2980, 2980, 2980, 2980, 2980, 2980, 2980, 2980,
8140
8141 2980, 2980, 2980, 2980, 2980, 2981, 2981, 2981, 2981, 2981,
8142 2981, 2981, 2981, 2981, 2981, 2981, 2981, 2981, 2981, 2981,
8143 2981, 2981, 2981, 2981, 2981, 2981, 2981, 2982, 2982, 2982,
8144 2982, 2982, 0, 0, 0, 0, 0, 0, 0, 0,
8145 0, 0, 0, 2982, 2982, 2982, 2982, 2982, 2983, 2983,
8146 2983, 2983, 2983, 2983, 2983, 2983, 2983, 2983, 2983, 2983,
8147 2983, 2983, 2983, 2983, 2983, 2983, 2983, 2983, 2983, 2983,
8148 2984, 2984, 0, 2984, 2984, 2984, 2984, 2984, 2984, 2984,
8149 2984, 2984, 2984, 2984, 2984, 2984, 2984, 2984, 2984, 2984,
8150 2984, 2984, 2985, 2985, 2985, 2985, 2985, 0, 0, 0,
8151
8152 0, 2985, 2985, 0, 2985, 0, 2985, 0, 2985, 2985,
8153 2985, 2985, 2985, 2986, 2986, 2986, 2986, 2986, 2986, 2986,
8154 2986, 2986, 2986, 2986, 2986, 2986, 2986, 2986, 2986, 2986,
8155 2986, 2986, 2986, 2986, 2986, 2987, 2987, 2987, 2987, 2987,
8156 0, 0, 0, 0, 2987, 2987, 0, 2987, 2987, 2987,
8157 2987, 0, 2987, 2987, 2987, 2987, 2988, 2988, 2988, 2988,
8158 0, 0, 0, 2988, 2988, 0, 0, 0, 2988, 2988,
8159 2988, 0, 0, 2988, 2988, 2988, 2988, 2989, 2989, 2989,
8160 2989, 0, 0, 0, 2989, 2989, 0, 0, 0, 2989,
8161 2989, 2989, 0, 0, 2989, 2989, 2989, 2989, 2990, 2990,
8162
8163 2990, 2990, 2990, 0, 0, 0, 0, 2990, 2990, 0,
8164 2990, 2990, 2990, 2990, 0, 2990, 2990, 2990, 2990, 2991,
8165 2991, 2991, 2991, 2991, 0, 0, 0, 0, 0, 0,
8166 0, 0, 0, 0, 2991, 0, 2991, 2991, 2991, 2991,
8167 2992, 2992, 2992, 2992, 2992, 0, 0, 0, 0, 0,
8168 0, 0, 0, 2992, 2992, 2992, 0, 2992, 2992, 2992,
8169 2992, 2993, 2993, 2993, 2993, 2993, 0, 0, 2993, 0,
8170 2993, 2993, 0, 2993, 0, 2993, 2993, 0, 2993, 2993,
8171 2993, 2993, 2994, 2994, 2994, 2994, 2994, 0, 0, 2994,
8172 0, 2994, 2994, 0, 2994, 2994, 2994, 2994, 0, 2994,
8173
8174 2994, 2994, 2994, 2995, 2995, 2995, 2995, 0, 0, 0,
8175 2995, 2995, 0, 0, 0, 2995, 2995, 2995, 0, 0,
8176 2995, 2995, 2995, 2995, 2996, 2996, 2996, 2996, 2996, 0,
8177 0, 2996, 0, 2996, 2996, 0, 2996, 2996, 2996, 2996,
8178 0, 2996, 2996, 2996, 2996, 2997, 2997, 2997, 2997, 2997,
8179 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
8180 2997, 0, 2997, 2997, 2997, 2997, 2998, 2998, 2998, 2998,
8181 2998, 0, 0, 0, 0, 0, 0, 0, 0, 2998,
8182 2998, 2998, 0, 2998, 2998, 2998, 2998, 2999, 2999, 2999,
8183 2999, 2999, 0, 0, 2999, 0, 2999, 2999, 0, 2999,
8184
8185 0, 2999, 0, 0, 2999, 2999, 2999, 2999, 3000, 3000,
8186 3000, 3000, 3000, 0, 0, 3000, 0, 3000, 3000, 0,
8187 3000, 3000, 3000, 3000, 0, 3000, 3000, 3000, 3000, 3001,
8188 3001, 0, 3001, 3001, 3001, 3001, 3001, 3001, 3001, 3001,
8189 3001, 3001, 3001, 3001, 3001, 3001, 3001, 3001, 3001, 3001,
8190 3001, 3002, 3002, 3002, 3002, 3002, 3002, 3002, 3002, 3002,
8191 3002, 3002, 3002, 3002, 3002, 3002, 3002, 3002, 3002, 3002,
8192 3002, 3002, 3002, 3003, 3003, 3003, 3003, 3003, 3003, 3003,
8193 3003, 3003, 3003, 3003, 3003, 3003, 3003, 3003, 3003, 3003,
8194 3003, 3003, 3003, 3003, 3003, 3004, 3004, 3004, 3004, 3004,
8195
8196 3004, 3004, 3004, 3004, 3004, 3004, 3004, 3004, 3004, 3004,
8197 3004, 3004, 3004, 3004, 3004, 3004, 3004, 3005, 0, 0,
8198 0, 0, 3005, 3005, 0, 3005, 0, 3005, 0, 0,
8199 3005, 3005, 3005, 3005, 3006, 3006, 3006, 3006, 3006, 0,
8200 0, 0, 0, 3006, 3006, 0, 3006, 3006, 3006, 3006,
8201 0, 3006, 3006, 3006, 3006, 3007, 3007, 3007, 3007, 3007,
8202 3007, 3007, 3007, 3007, 3007, 3007, 3007, 3007, 3007, 3007,
8203 3007, 3007, 3007, 3007, 3007, 3007, 3007, 3008, 3008, 3008,
8204 3008, 3008, 3008, 3008, 3008, 3008, 3008, 3008, 3008, 3008,
8205 3008, 3008, 3008, 3008, 3008, 3008, 3008, 3008, 3008, 3009,
8206
8207 3009, 3009, 3009, 3009, 3009, 3009, 3009, 3009, 3009, 3009,
8208 3009, 3009, 3009, 3009, 3009, 3009, 3009, 3009, 3009, 3009,
8209 3009, 3010, 3010, 3010, 3010, 3010, 0, 0, 0, 0,
8210 3010, 3010, 0, 3010, 3010, 3010, 3010, 0, 3010, 3010,
8211 3010, 3010, 3011, 3011, 3011, 3011, 3011, 0, 0, 0,
8212 0, 0, 0, 0, 0, 3011, 3011, 3011, 0, 3011,
8213 3011, 3011, 3011, 3012, 3012, 3012, 3012, 3012, 3012, 3012,
8214 3012, 3012, 3012, 3012, 3012, 3012, 3012, 3012, 3012, 3012,
8215 3012, 3012, 3012, 3012, 3012, 3013, 3013, 3013, 3013, 3013,
8216 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
8217
8218 3013, 0, 3013, 3013, 3013, 3013, 3014, 3014, 3014, 3014,
8219 3014, 0, 0, 0, 0, 0, 0, 0, 0, 3014,
8220 3014, 3014, 0, 3014, 3014, 3014, 3014, 3015, 3015, 3015,
8221 3015, 3015, 0, 0, 0, 0, 0, 0, 0, 0,
8222 0, 0, 0, 0, 3015, 3015, 3015, 3015, 3016, 0,
8223 0, 0, 0, 3016, 3016, 0, 3016, 0, 3016, 0,
8224 0, 3016, 3016, 3016, 3016, 3017, 3017, 3017, 3017, 3017,
8225 0, 0, 0, 0, 3017, 3017, 0, 3017, 3017, 3017,
8226 3017, 0, 3017, 3017, 3017, 3017, 3018, 3018, 0, 3018,
8227 3018, 3018, 3018, 3018, 3018, 3018, 3018, 3018, 3018, 3018,
8228
8229 3018, 3018, 3018, 3018, 3018, 3018, 3018, 3018, 3019, 3019,
8230 3019, 3019, 3019, 3019, 3019, 3019, 3019, 3019, 3019, 3019,
8231 3019, 3019, 3019, 3019, 3019, 3019, 3019, 3019, 3019, 3019,
8232 3020, 3020, 3020, 3020, 3020, 0, 0, 0, 0, 0,
8233 0, 0, 0, 0, 0, 0, 0, 3020, 3020, 3020,
8234 3020, 3021, 3021, 3021, 3021, 3021, 0, 0, 0, 0,
8235 3021, 3021, 0, 3021, 0, 3021, 0, 0, 3021, 3021,
8236 3021, 3021, 3022, 3022, 3022, 3022, 3022, 0, 0, 0,
8237 0, 3022, 3022, 0, 3022, 3022, 3022, 3022, 0, 3022,
8238 3022, 3022, 3022, 3023, 3023, 3023, 3023, 3023, 3023, 3023,
8239
8240 3023, 3023, 3023, 3023, 3023, 3023, 3023, 3023, 3023, 3023,
8241 3023, 3023, 3023, 3023, 3023, 3024, 3024, 3024, 3024, 3024,
8242 3024, 3024, 3024, 3024, 3024, 3024, 3024, 3024, 3024, 3024,
8243 3024, 3024, 3024, 3024, 3024, 3024, 3024, 3025, 3025, 3025,
8244 3025, 3025, 0, 0, 0, 0, 3025, 3025, 0, 3025,
8245 0, 3025, 0, 3025, 3025, 3025, 3025, 3025, 3026, 3026,
8246 0, 3026, 3026, 3026, 3026, 3026, 3026, 3026, 3026, 3026,
8247 3026, 3026, 3026, 3026, 3026, 3026, 3026, 3026, 3026, 3026,
8248 3027, 3027, 3027, 3027, 3027, 3027, 3027, 3027, 3027, 3027,
8249 3027, 3027, 3027, 3027, 3027, 3027, 3027, 3027, 3027, 3027,
8250
8251 3027, 3027, 3028, 3028, 3028, 3028, 3028, 0, 0, 0,
8252 0, 0, 0, 0, 0, 0, 0, 0, 0, 3028,
8253 3028, 3028, 3028, 3029, 3029, 3029, 3029, 3029, 0, 0,
8254 0, 0, 0, 0, 0, 0, 3029, 3029, 3029, 0,
8255 3029, 3029, 3029, 3029, 3030, 3030, 3030, 3030, 3030, 3030,
8256 3030, 3030, 3030, 3030, 3030, 3030, 3030, 3030, 3030, 3030,
8257 3030, 3030, 3030, 3030, 3030, 3030, 3031, 0, 0, 0,
8258 0, 3031, 3031, 0, 3031, 0, 3031, 0, 0, 3031,
8259 3031, 3031, 3031, 3032, 3032, 3032, 3032, 3032, 0, 0,
8260 0, 0, 3032, 3032, 0, 3032, 3032, 3032, 3032, 0,
8261
8262 3032, 3032, 3032, 3032, 3033, 3033, 3033, 3033, 3033, 3033,
8263 3033, 3033, 3033, 3033, 3033, 3033, 3033, 3033, 3033, 3033,
8264 3033, 3033, 3033, 3033, 3033, 3033, 3034, 3034, 3034, 3034,
8265 3034, 3034, 3034, 3034, 3034, 3034, 3034, 3034, 3034, 3034,
8266 3034, 3034, 3034, 3034, 3034, 3034, 3034, 3034, 3035, 3035,
8267 0, 3035, 3035, 3035, 3035, 3035, 3035, 3035, 3035, 3035,
8268 3035, 3035, 3035, 3035, 3035, 3035, 3035, 3035, 3035, 3035,
8269 3036, 3036, 3036, 3036, 3036, 3036, 3036, 3036, 3036, 3036,
8270 3036, 3036, 3036, 3036, 3036, 3036, 3036, 3036, 3036, 3036,
8271 3036, 3036, 3037, 3037, 3037, 3037, 3037, 3037, 3037, 3037,
8272
8273 3037, 3037, 3037, 3037, 3037, 3037, 3037, 3037, 3037, 3037,
8274 3037, 3037, 3037, 3037, 3038, 3038, 3038, 3038, 3038, 3038,
8275 3038, 3038, 3038, 3038, 3038, 3038, 3038, 3038, 3038, 3038,
8276 3038, 3038, 3038, 3038, 3038, 3038, 3039, 3039, 3039, 3039,
8277 3039, 3039, 3039, 3039, 3039, 3039, 3039, 3039, 3039, 3039,
8278 3039, 3039, 3039, 3039, 3039, 3039, 3039, 3039, 3040, 3040,
8279 3040, 3040, 3040, 3040, 3040, 3040, 3040, 3040, 3040, 3040,
8280 3040, 3040, 3040, 3040, 3040, 3040, 3040, 3040, 3040, 3040,
8281 3041, 3041, 3041, 3041, 3041, 3041, 3041, 3041, 3041, 3041,
8282 3041, 3041, 3041, 3041, 3041, 3041, 3041, 3041, 3041, 3041,
8283
8284 3041, 3041, 3042, 3042, 3042, 3042, 3042, 3042, 3042, 3042,
8285 3042, 3042, 3042, 3042, 3042, 3042, 3042, 3042, 3042, 3042,
8286 3042, 3042, 3042, 3042, 3043, 3043, 3043, 3043, 3043, 3043,
8287 3043, 3043, 3043, 3043, 3043, 3043, 3043, 3043, 3043, 3043,
8288 3043, 3043, 3043, 3043, 3043, 3043, 3044, 3044, 3044, 3044,
8289 3044, 3044, 3044, 3044, 3044, 3044, 3044, 3044, 3044, 3044,
8290 3044, 3044, 3044, 3044, 3044, 3044, 3044, 3044, 3045, 3045,
8291 3045, 3045, 3045, 3045, 3045, 3045, 3045, 3045, 3045, 3045,
8292 3045, 3045, 3045, 3045, 3045, 3045, 3045, 3045, 3045, 3045,
8293 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
8294
8295 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
8296 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
8297 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
8298 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
8299 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
8300 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912, 2912,
8301 2912, 2912, 2912, 2912, 2912, 2912
8302 } ;
8303
8304static yy_state_type yy_last_accepting_state;
8305static char *yy_last_accepting_cpos;
8306
8307extern int vhdlcodeYY_flex_debug;
8308int vhdlcodeYY_flex_debug = 0;
8309
8310/* The intent behind this definition is that it'll catch
8311 * any uses of REJECT which flex missed.
8312 */
8313#define REJECT reject_used_but_not_detected
8314#define yymore() yymore_used_but_not_detected
8315#define YY_MORE_ADJ 0
8316#define YY_RESTORE_YY_MORE_OFFSET
8317char *vhdlcodeYYtext;
8318#line 1 "vhdlcode.l"
8319/******************************************************************************
8320 *
8321 * Copyright (C) 1997-2011 by Dimitri van Heesch.
8322 *
8323 * Permission to use, copy, modify, and distribute this software and its
8324 * documentation under the terms of the GNU General Public License is hereby
8325 * granted. No representations are made about the suitability of this software
8326 * for any purpose. It is provided "as is" without express or implied warranty.
8327 * See the GNU General Public License for more details.
8328 *
8329 * Documents produced by Doxygen are derivative works derived from the
8330 * input used in their production; they are not affected by this license.
8331 *
8332 */
8333/******************************************************************************
8334 * Parser for syntax hightlighting and references for vhdl subset
8335 * written by M. Kreis
8336 * supports VHDL-87
8337 * does not support VHDL-AMS
8338 ******************************************************************************/
8339#line 23 "vhdlcode.l"
8340
8341/*
8342 * includes
8343 */
8344#include <stdio.h>
8345#include <assert.h>
8346#include <ctype.h>
8347#include <qregexp.h>
8348#include <qdir.h>
8349#include <qstringlist.h>
8350
8351#include "qtbc.h"
8352#include "entry.h"
8353#include "doxygen.h"
8354#include "message.h"
8355#include "outputlist.h"
8356#include "util.h"
8357#include "membername.h"
8358#include "searchindex.h"
8359#include "vhdldocgen.h"
8360
8361#define YY_NEVER_INTERACTIVE 1
8362
8363// Toggle for some debugging info
8364//#define DBG_CTX(x) fprintf x
8365#define DBG_CTX(x) do { } while(0)
8366
8367
8368/* -----------------------------------------------------------------
8369 * statics
8370 */
8371
8372// ----------------- <vhdl> ----------------------------------
8373
8374//static bool isPackBody=FALSE;
8375//static bool isStartMap;
8376static bool isFuncProto=FALSE;
8377static bool isComponent=FALSE;
8378static bool isPackageBody=FALSE;
8379static bool isProto = FALSE;
8380
8381static QCString g_PrevString;
8382static QCString g_CurrClass;
8383static QDict<QCString>g_vhdlKeyDict;
8384static QCString g_tempClass;
8385static QCString g_tempComp;
8386static QCString g_PortMapComp;
8387static MemberDef *g_vhdlMember;
8388static QCString g_FuncProto;
8389
8390//-----------------------------------------------------------
8391
8392static CodeOutputInterface * g_code;
8393static QCString g_curClassName;
8394static QCString g_parmType;
8395static QCString g_parmName;
8396static const char * g_inputString; //!< the code fragment as text
8397static int g_inputPosition; //!< read offset during parsing
8398static int g_inputLines; //!< number of line in the code fragment
8399static int g_yyLineNr; //!< current line number
8400static bool g_needsTermination;
8401
8402static QCString g_exampleName;
8403static QCString g_exampleFile;
8404
8405static QCString g_type;
8406static QCString g_name;
8407static QCString g_args;
8408static QCString g_classScope;
8409
8410static QCString g_CurrScope;
8411
8412static FileDef * g_sourceFileDef;
8413static Definition * g_currentDefinition;
8414static MemberDef * g_currentMemberDef;
8415static bool g_includeCodeFragment;
8416static const char * g_currentFontClass;
8417
8418static bool g_lexInit = FALSE;
8419static int g_braceCount=0;
8420
8421
8422static void writeFont(const char *s,const char* text);
8423static void generateMemLink(CodeOutputInterface &ol,QCString &clName,QCString& memberName);
8424static bool writeColoredWord(QCString& word );
8425static void generateClassOrGlobalLink(CodeOutputInterface &ol,const char *clName, bool typeOnly=FALSE);
8426static void endFontClass();
8427static void startFontClass(const char *s);
8428//-------------------------------------------------------------------
8429
8430
8431static void setCurrentDoc(const QCString &name,const QCString &base,const QCString &anchor="")
8432{
8433 if (Doxygen::searchIndex)
8434 {
8435 Doxygen::searchIndex->setCurrentDoc(name,base,anchor);
8436 }
8437}
8438
8439static bool checkString(QCString &name)
8440{
8441 if (name.isEmpty()) return FALSE;
8442 static QRegExp regg("[\\s\"]");
8443
8444 int len=name.length();
8445 if (name.at(0)=='"' && name.at(len-1)=='"' && len > 2)
8446 {
8447 QStringList qrl=QStringList::split(regg,name,FALSE);
8448 if (VhdlDocGen::isNumber((QCString)qrl[0]))
8449 {
8450 g_code->codify("\"");
8451 startFontClass("vhdllogic");
8452 QCString mid=name.mid(1,len-2); //" 1223 "
8453 g_code->codify(mid.data());
8454 endFontClass();
8455 g_code->codify("\"");
8456 }
8457 else
8458 {
8459 startFontClass("keyword");
8460 g_code->codify(name.data());
8461 endFontClass();
8462 }
8463 return TRUE;
8464 }
8465
8466 if (VhdlDocGen::isNumber(name))
8467 {
8468 startFontClass("vhdllogic");
8469 g_code->codify(name.data());
8470 endFontClass();
8471 return TRUE;
8472 }
8473 return FALSE;
8474}
8475
8476static void addToSearchIndex(const char *text)
8477{
8478 if (Doxygen::searchIndex)
8479 {
8480 Doxygen::searchIndex->addWord(text,FALSE);
8481 }
8482}
8483
8484
8485/*! start a new line of code, inserting a line number if g_sourceFileDef
8486 * is TRUE. If a definition starts at the current line, then the line
8487 * number is linked to the documentation of that definition.
8488 */
8489static void startCodeLine()
8490{
8491 //if (g_currentFontClass) { g_code->endFontClass(); }
8492 if (g_sourceFileDef)
8493 {
8494 //QCString lineNumber,lineAnchor;
8495 //lineNumber.sprintf("%05d",g_yyLineNr);
8496 //lineAnchor.sprintf("l%05d",g_yyLineNr);
8497 // if ((g_yyLineNr % 500) == 0)
8498 // fprintf(stderr,"\n starting Line %d:",g_yyLineNr);
8499 Definition *d = g_sourceFileDef->getSourceDefinition(g_yyLineNr);
8500 //printf("startCodeLine %d d=%s\n", g_yyLineNr,d ? d->name().data() : "<null>");
8501 if (!g_includeCodeFragment && d)
8502 {
8503 g_currentDefinition = d;
8504 g_currentMemberDef = g_sourceFileDef->getSourceMember(g_yyLineNr);
8505 if (!g_tempComp.isEmpty() && g_currentMemberDef )
8506 {
8507 //ClassDef *cf=VhdlDocGen::getClass(g_tempComp.data());
8508 QCString nn=g_currentMemberDef->name();
8509 MemberDef* mdeff=VhdlDocGen::findMember(g_tempComp,nn);
8510 if (mdeff)
8511 {
8512 g_currentMemberDef=mdeff;
8513 }
8514 }
8515
8516 g_parmType.resize(0);
8517 g_parmName.resize(0);
8518 QCString lineAnchor;
8519 lineAnchor.sprintf("l%05d",g_yyLineNr);
8520 if (g_currentMemberDef)
8521 {
8522 g_code->writeLineNumber(g_currentMemberDef->getReference(),
8523 g_currentMemberDef->getOutputFileBase(),
8524 g_currentMemberDef->anchor(),g_yyLineNr);
8525 setCurrentDoc(g_currentMemberDef->qualifiedName(),
8526 g_sourceFileDef->getSourceFileBase(),
8527 lineAnchor);
8528 }
8529 else if (d->isLinkableInProject())
8530 {
8531 g_code->writeLineNumber(d->getReference(),
8532 d->getOutputFileBase(),
8533 0,g_yyLineNr);
8534 setCurrentDoc(d->qualifiedName(),
8535 g_sourceFileDef->getSourceFileBase(),
8536 lineAnchor);
8537 }
8538 }
8539 else
8540 {
8541 g_code->writeLineNumber(0,0,0,g_yyLineNr);
8542 }
8543 }
8544 g_code->startCodeLine();
8545 if (g_currentFontClass)
8546 {
8547 g_code->startFontClass(g_currentFontClass);
8548 }
8549}
8550
8551static void endFontClass();
8552static void endCodeLine()
8553{
8554 endFontClass();
8555 g_code->endCodeLine();
8556}
8557
8558static void nextCodeLine()
8559{
8560 const char *fc = g_currentFontClass;
8561 endCodeLine();
8562 if (g_yyLineNr<g_inputLines)
8563 {
8564 g_currentFontClass = fc;
8565 startCodeLine();
8566 }
8567}
8568
8569/*! writes a word to the output.
8570 * If curr_class is defined, the word belongs to a class
8571 * and will be linked.
8572 */
8573
8574static void writeWord(const char *word,const char* curr_class=0,bool classLink=FALSE)
8575{
8576 bool found=FALSE;
8577 QCString temp;
8578 QCString tclass(curr_class);
8579 QCString ttt(word);
8580 if (ttt.isEmpty()) return;
8581 for (unsigned int j=0;j<ttt.length();j++)
8582 {
8583 char c=ttt.at(j);
8584 if (c==' '|| c==',' || c==';' || c==':' || c=='(' || c==')' || c=='\r' || c=='\t' || c=='.')
8585 {
8586 if (found)
8587 {
8588 if (!writeColoredWord(temp)) // is it a keyword ?
8589 {
8590 //if (VhdlDocGen::findKeyWord(temp))
8591 // writeFont("vhdlkeyword",temp.data());
8592 //printf("writeWord: %s\n",temp.data());
8593 if (!tclass.isEmpty())
8594 {
8595 if (!classLink)
8596 {
8597 generateMemLink(*g_code,tclass,temp);
8598 }
8599 else
8600 {
8601 generateClassOrGlobalLink(*g_code,temp);
8602 }
8603 }
8604 else
8605 {
8606 if (!checkString(temp))
8607 g_code->codify(temp.data());
8608 }
8609 }
8610 temp.resize(0);
8611 found=FALSE;
8612 }
8613
8614 char cc[2];
8615 cc[0]=c;
8616 cc[1]=0;
8617 g_code->codify(cc);
8618 }
8619 else
8620 {
8621 found=TRUE;
8622 temp+=c;
8623 }
8624 } // for
8625
8626 if (!temp.isEmpty())
8627 {
8628 if (!writeColoredWord(temp))
8629 {
8630 if (!tclass.isEmpty())
8631 {
8632 if (!classLink)
8633 {
8634 generateMemLink(*g_code,tclass,temp); // generateMemLink(*g_code,g_CurrClass,left);
8635 }
8636 else
8637 {
8638 generateClassOrGlobalLink(*g_code,temp);
8639 }
8640 }
8641 else
8642 {
8643 QCString qc(temp.data());
8644 if (VhdlDocGen::isNumber(qc)){
8645 startFontClass("vhdllogic");
8646 g_code->codify(temp.data());
8647 endFontClass();
8648 }
8649 else
8650 g_code->codify(temp.data());
8651 }
8652 }
8653 }
8654}// writeWord
8655
8656
8657/*! write a code fragment `text' that may span multiple lines, inserting
8658 * line numbers for each line.
8659 */
8660static void codifyLines(const char *text,const char *cl=0,bool classlink=FALSE)
8661{
8662 if (text==0) return;
8663 //printf("codifyLines(%d,\"%s\")\n",g_yyLineNr,text);
8664 const char *p=text,*sp=p;
8665 char c;
8666 bool done=FALSE;
8667 while (!done)
8668 {
8669 sp=p;
8670 while ((c=*p++) && c!='\n') {}
8671 if (c=='\n')
8672 {
8673 g_yyLineNr++;
8674 QCString line = sp;
8675 line = line.left(p-sp-1);
8676 //*(p-1)='\0';
8677 //g_code->codify(sp);
8678 writeWord(line,cl,classlink);
8679 nextCodeLine();
8680 }
8681 else
8682 {
8683 //g_code->codify(sp);
8684 writeWord(sp,cl,classlink);
8685 done=TRUE;
8686 }
8687 }
8688}
8689
8690/*! writes a link to a fragment \a text that may span multiple lines, inserting
8691 * line numbers for each line. If \a text contains newlines, the link will be
8692 * split into multiple links with the same destination, one for each line.
8693 */
8694static void writeMultiLineCodeLink(CodeOutputInterface &ol,
8695 const char *ref,const char *file,
8696 const char *anchor,const char *text,
8697 const char *tooltip)
8698{
8699 bool done=FALSE;
8700 char *p=(char *)text;
8701 while (!done)
8702 {
8703 char *sp=p;
8704 char c;
8705 while ((c=*p++) && c!='\n') {}
8706 if (c=='\n')
8707 {
8708 g_yyLineNr++;
8709 *(p-1)='\0';
8710 // printf("writeCodeLink(%s,%s,%s,%s)\n",ref,file,anchor,sp);
8711 ol.writeCodeLink(ref,file,anchor,sp,tooltip);
8712 nextCodeLine();
8713 }
8714 else
8715 {
8716 ol.writeCodeLink(ref,file,anchor,sp,tooltip);
8717 done=TRUE;
8718 }
8719 }
8720}
8721
8722static void setParameterList(MemberDef *md)
8723{
8724 g_classScope = md->getClassDef() ? md->getClassDef()->name().data() : "";
8725 LockingPtr<ArgumentList> al = md->argumentList();
8726 if (al==0) return;
8727 Argument *a = al->first();
8728 while (a)
8729 {
8730 g_parmName = a->name.copy();
8731 g_parmType = a->type.copy();
8732 int i = g_parmType.find('*');
8733 if (i!=-1) g_parmType = g_parmType.left(i);
8734 i = g_parmType.find('&');
8735 if (i!=-1) g_parmType = g_parmType.left(i);
8736 g_parmType.stripPrefix("const ");
8737 g_parmType=g_parmType.stripWhiteSpace();
8738 // g_theVarContext.addVariable(g_parmType,g_parmName);
8739 a = al->next();
8740 }
8741}
8742
8743
8744/*! writes a link to a function or procedure
8745 */
8746
8747static void generateFuncLink(CodeOutputInterface &ol,MemberDef* mdef)
8748{
8749
8750 //printf("generateFuncLink(FuncName=%s)\n",mdef->name().data());
8751 QCString memberName=mdef->name();
8752
8753 if (mdef && mdef->isLinkable()) // is it a linkable class
8754 {
8755 writeMultiLineCodeLink(ol,mdef->getReference(),
8756 mdef->getOutputFileBase(),
8757 mdef->anchor(),
8758 mdef->name(),
8759 mdef->briefDescriptionAsTooltip());
8760 addToSearchIndex(memberName);
8761 return;
8762 }
8763 ol.linkableSymbol(g_yyLineNr,memberName,0,g_currentMemberDef?g_currentMemberDef:g_currentDefinition);
8764 codifyLines(memberName.data());
8765 addToSearchIndex(memberName);
8766} // generateFuncLink
8767
8768
8769static void generateMemLink(CodeOutputInterface &ol,QCString &clName,QCString& memberName)
8770{
8771 if (clName.isEmpty() || memberName.isEmpty()) return;
8772 QCString className=clName;
8773
8774 MemberDef *md=0;
8775 //MemberDef *comp=0;
8776 //bool isLocal=FALSE;
8777
8778 md=VhdlDocGen::findMember(className,memberName);
8779 ClassDef *po=VhdlDocGen::getClass(className.data());
8780
8781 if (md==0 && po && (VhdlDocGen::VhdlClasses)po->protection()==VhdlDocGen::PACKBODYCLASS)
8782 {
8783 QCString temp=className;//.stripPrefix("_");
8784 temp.stripPrefix("_");
8785 md=VhdlDocGen::findMember(temp,memberName);
8786 }
8787
8788 if (md && md->isLinkable()) // is it a linkable class
8789 {
8790 writeMultiLineCodeLink(ol,md->getReference(),
8791 md->getOutputFileBase(),
8792 md->anchor(),
8793 memberName,
8794 md->briefDescriptionAsTooltip());
8795 addToSearchIndex(memberName);
8796 return;
8797 }
8798 // nothing found, just write out the word
8799 ol.linkableSymbol(g_yyLineNr,memberName,0,g_currentMemberDef?g_currentMemberDef:g_currentDefinition);
8800 codifyLines(memberName.data());
8801 addToSearchIndex(memberName);
8802}// generateMemLink
8803
8804
8805static void generateClassOrGlobalLink(CodeOutputInterface &ol,const char *clName, bool /*typeOnly*/)
8806{
8807 QCString className=clName;
8808
8809 if (className.isEmpty()) return;
8810
8811 ClassDef *cd=0;
8812 //MemberDef *md=0;
8813 //bool isLocal=FALSE;
8814 className.stripPrefix("_");
8815 cd = getClass(className.data());
8816 while (cd)
8817 {
8818 //className.stripPrefix("_");
8819 QCString temp(clName);
8820 temp.stripPrefix("_");
8821 if (cd && cd->isLinkable()) // is it a linkable class
8822 {
8823 //if ((VhdlDocGen::VhdlClasses)cd->protection()==VhdlDocGen::ARCHITECTURECLASS)
8824 //{
8825 // temp=VhdlDocGen::getClassName(cd);
8826 //}
8827 ol.linkableSymbol(g_yyLineNr,temp,cd,
8828 g_currentMemberDef ?
8829g_currentMemberDef :
8830g_currentDefinition);
8831 writeMultiLineCodeLink(ol,cd->getReference(),
8832 cd->getOutputFileBase(),
8833cd->anchor(),
8834temp,
8835cd->briefDescriptionAsTooltip());
8836 addToSearchIndex(className);
8837 return;
8838 }
8839 Definition *d = cd->getOuterScope();
8840 if (d && d->definitionType()==Definition::TypeClass)
8841 {
8842 cd = (ClassDef*)d;
8843 }
8844 else
8845 {
8846 cd = 0;
8847 }
8848 }
8849
8850 // nothing found, just write out the word
8851 ol.linkableSymbol(g_yyLineNr,clName,0,g_currentMemberDef?g_currentMemberDef:g_currentDefinition);
8852 codifyLines(clName);
8853 addToSearchIndex(clName);
8854}// generateClasss or global link
8855
8856
8857/*! counts the number of lines in the input */
8858static int countLines()
8859{
8860 const char *p=g_inputString;
8861 char c;
8862 int count=1;
8863 while ((c=*p))
8864 {
8865 p++ ;
8866 if (c=='\n') count++;
8867 }
8868 if (p>g_inputString && *(p-1)!='\n')
8869 { // last line does not end with a \n, so we add an extra
8870 // line and explicitly terminate the line after parsing.
8871 count++,
8872 g_needsTermination=TRUE;
8873 }
8874 return count;
8875}
8876
8877static void endFontClass()
8878{
8879 if (g_currentFontClass)
8880 {
8881 g_code->endFontClass();
8882 g_currentFontClass=0;
8883 }
8884}
8885
8886static void startFontClass(const char *s)
8887{
8888 if (s==0) return;
8889 endFontClass();
8890 g_code->startFontClass(s);
8891 g_currentFontClass=s;
8892}
8893
8894static void writeFont(const char *s,const char* text)
8895{
8896 if (s==0 || text==0) return;
8897 //printf("writeFont(%d,\"%s\")\n",g_yyLineNr,text);
8898 g_code->startFontClass(s);
8899 g_code->codify(text);
8900 g_code->endFontClass();
8901}
8902
8903//----------------------------------------------------------------------------
8904
8905static void appStringLower(QCString& qcs,const char* text)
8906{
8907 qcs.resize(0);
8908 qcs.append(text);
8909 //qcs=qcs.lower();
8910 qcs=qcs.stripWhiteSpace();
8911}
8912
8913//static void appString(QCString& qcs,const char* text)
8914//{
8915// qcs.resize(0);
8916// qcs.append(text);
8917//}
8918
8919static QCString g_temp;
8920
8921/* writes and links a port map statement */
8922static void codifyMapLines(char *text)
8923{
8924 if (text==0) return;
8925 g_temp.resize(0);
8926 //bool dot=FALSE;
8927 int wordCounter=0;
8928 QCString ctemp;
8929 //printf("codifyLines(%d,\"%s\")\n",g_yyLineNr,text);
8930 char *p=text,*sp=p;
8931 char c;
8932 bool done=FALSE;
8933 while (!done)
8934 {
8935 sp=p;
8936 while ((c=*p++) && c!='\n' && c!=':' && c != ' ' && c != '(' && c!='\0' && c!='\t')
8937 {
8938 if (c!=0x9)
8939g_temp+=c;
8940 }
8941 if (c=='\0') return;
8942 if (!g_temp.isEmpty()) wordCounter++;
8943
8944 if (!g_temp.isEmpty())
8945 {
8946 // different kinds of component instantiations
8947 // xxx:yyy (generic/port) map(
8948 // xxx:(entity/component/configuration) yyy (generic/port) map(
8949 // xxx: entity yyy(zzz) (generic/port) map(
8950 if (wordCounter==2 || wordCounter==3)
8951 {
8952QCString q=g_temp.lower(); // consider (upper/lower) cases
8953if (q=="entity" || q=="component" || q=="configuration" || q=="port" || q=="generic")
8954{
8955 generateMemLink(*g_code,g_CurrClass,g_temp);
8956}
8957else
8958{
8959 g_PortMapComp=g_temp;
8960 generateClassOrGlobalLink(*g_code,g_temp);
8961}
8962 }
8963 else
8964 {
8965generateMemLink(*g_code,g_CurrClass,g_temp);
8966 }
8967 }
8968 ctemp.fill(c,1);
8969 codifyLines(ctemp.data());
8970 ctemp.resize(0);
8971 g_temp.resize(0);
8972 }//while
8973}//codifymaplines
8974
8975/*
8976* writes a function|procedure prototype and links the function|procedure name
8977*/
8978
8979static void writeFuncProto()
8980{
8981 QList<Argument> ql;
8982 QCString name,ret;
8983 VhdlDocGen::parseFuncProto(g_FuncProto,ql,name,ret,FALSE);
8984
8985 if (name.isEmpty())
8986 {
8987 codifyLines(g_FuncProto.data(),g_CurrClass.data());
8988 return;
8989 }
8990 QStringList qlist=QStringList::split(name,g_FuncProto,FALSE);
8991 QCString temp=(QCString)qlist[0];
8992 codifyLines(temp.data(),g_CurrClass.data());
8993 g_FuncProto.stripPrefix(temp.data());
8994 temp.resize(0);
8995 temp=g_CurrClass;
8996 if (isPackageBody)
8997 {
8998 temp.stripPrefix("_");// _{package body name}
8999 }
9000 MemberDef *mdef=VhdlDocGen::findFunction(ql,name,temp,FALSE);
9001
9002 if (mdef)
9003 {
9004 generateFuncLink(*g_code,mdef);
9005 g_FuncProto.stripPrefix(name.data());
9006 codifyLines(g_FuncProto.data(),g_CurrClass.data());
9007 }
9008 else
9009 {
9010 codifyLines(g_FuncProto.data(),g_CurrClass.data());
9011 }
9012}// writeFuncProto
9013
9014/* writes a process prototype to the ouput */
9015
9016 static void writeProcessProto(){
9017 codifyLines(g_FuncProto.data(),g_CurrClass.data());
9018 g_vhdlKeyDict.clear();
9019}// writeProcessProto
9020
9021/* writes a keyword */
9022
9023static bool writeColoredWord(QCString& word )
9024{
9025 QCString qcs=word.lower();
9026 QCString *ss=VhdlDocGen::findKeyWord(qcs);
9027 if (ss)
9028 {
9029 writeFont(ss->data(),word.data());
9030 return TRUE;
9031 }
9032 return FALSE;
9033}
9034
9035#undef YY_INPUT
9036#define YY_INPUT(buf,result,max_size) result=yyread(buf,max_size);
9037
9038static int yyread(char *buf,int max_size)
9039{
9040 int c=0;
9041 while( c < max_size && g_inputString[g_inputPosition] )
9042 {
9043 *buf = g_inputString[g_inputPosition++] ;
9044 c++; buf++;
9045 }
9046 return c;
9047}
9048
9049
9050
9051
9052
9053
9054
9055
9056
9057
9058
9059
9060
9061#line 9062 "<stdout>"
9062
9063#define INITIAL 0
9064#define Bases 1
9065#define ParseType 2
9066#define ParseFuncProto 3
9067#define ParseComponent 4
9068#define ParsePackage 5
9069#define ParseProcessProto 6
9070#define ClassName 7
9071#define PackageName 8
9072#define ClassVar 9
9073#define ClassesName 10
9074#define Map 11
9075#define Body 12
9076
9077#ifndef YY_NO_UNISTD_H
9078/* Special case for "unistd.h", since it is non-ANSI. We include it way
9079 * down here because we want the user's section 1 to have been scanned first.
9080 * The user has a chance to override it with an option.
9081 */
9082#include <unistd.h>
9083#endif
9084
9085#ifndef YY_EXTRA_TYPE
9086#define YY_EXTRA_TYPE void *
9087#endif
9088
9089static int yy_init_globals (void );
9090
9091/* Accessor methods to globals.
9092 These are made visible to non-reentrant scanners for convenience. */
9093
9094int vhdlcodeYYlex_destroy (void );
9095
9096int vhdlcodeYYget_debug (void );
9097
9098void vhdlcodeYYset_debug (int debug_flag );
9099
9100YY_EXTRA_TYPE vhdlcodeYYget_extra (void );
9101
9102void vhdlcodeYYset_extra (YY_EXTRA_TYPE user_defined );
9103
9104FILE *vhdlcodeYYget_in (void );
9105
9106void vhdlcodeYYset_in (FILE * in_str );
9107
9108FILE *vhdlcodeYYget_out (void );
9109
9110void vhdlcodeYYset_out (FILE * out_str );
9111
9112yy_size_t vhdlcodeYYget_leng (void );
9113
9114char *vhdlcodeYYget_text (void );
9115
9116int vhdlcodeYYget_lineno (void );
9117
9118void vhdlcodeYYset_lineno (int line_number );
9119
9120/* Macros after this point can all be overridden by user definitions in
9121 * section 1.
9122 */
9123
9124#ifndef YY_SKIP_YYWRAP
9125#ifdef __cplusplus
9126extern "C" int vhdlcodeYYwrap (void );
9127#else
9128extern int vhdlcodeYYwrap (void );
9129#endif
9130#endif
9131
9132#ifndef yytext_ptr
9133static void yy_flex_strncpy (char *,yyconst char *,int );
9134#endif
9135
9136#ifdef YY_NEED_STRLEN
9137static int yy_flex_strlen (yyconst char * );
9138#endif
9139
9140#ifndef YY_NO_INPUT
9141
9142#ifdef __cplusplus
9143static int yyinput (void );
9144#else
9145static int input (void );
9146#endif
9147
9148#endif
9149
9150/* Amount of stuff to slurp up with each read. */
9151#ifndef YY_READ_BUF_SIZE
9152#define YY_READ_BUF_SIZE 262144
9153#endif
9154
9155/* Copy whatever the last rule matched to the standard output. */
9156#ifndef ECHO
9157/* This used to be an fputs(), but since the string might contain NUL's,
9158 * we now use fwrite().
9159 */
9160#define ECHO fwrite( vhdlcodeYYtext, vhdlcodeYYleng, 1, vhdlcodeYYout )
9161#endif
9162
9163/* Gets input and stuffs it into "buf". number of characters read, or YY_NULL,
9164 * is returned in "result".
9165 */
9166#ifndef YY_INPUT
9167#define YY_INPUT(buf,result,max_size) \
9168if ( YY_CURRENT_BUFFER_LVALUE->yy_is_interactive ) \
9169{ \
9170int c = '*'; \
9171yy_size_t n; \
9172for ( n = 0; n < max_size && \
9173 (c = getc( vhdlcodeYYin )) != EOF && c != '\n'; ++n ) \
9174buf[n] = (char) c; \
9175if ( c == '\n' ) \
9176buf[n++] = (char) c; \
9177if ( c == EOF && ferror( vhdlcodeYYin ) ) \
9178YY_FATAL_ERROR( "input in flex scanner failed" ); \
9179result = n; \
9180} \
9181else \
9182{ \
9183errno=0; \
9184while ( (result = fread(buf, 1, max_size, vhdlcodeYYin))==0 && ferror(vhdlcodeYYin)) \
9185{ \
9186if( errno != EINTR) \
9187{ \
9188YY_FATAL_ERROR( "input in flex scanner failed" ); \
9189break; \
9190} \
9191errno=0; \
9192clearerr(vhdlcodeYYin); \
9193} \
9194}\
9195\
9196
9197#endif
9198
9199/* No semi-colon after return; correct usage is to write "yyterminate();" -
9200 * we don't want an extra ';' after the "return" because that will cause
9201 * some compilers to complain about unreachable statements.
9202 */
9203#ifndef yyterminate
9204#define yyterminate() return YY_NULL
9205#endif
9206
9207/* Number of entries by which start-condition stack grows. */
9208#ifndef YY_START_STACK_INCR
9209#define YY_START_STACK_INCR 25
9210#endif
9211
9212/* Report a fatal error. */
9213#ifndef YY_FATAL_ERROR
9214#define YY_FATAL_ERROR(msg) yy_fatal_error( msg )
9215#endif
9216
9217/* end tables serialization structures and prototypes */
9218
9219/* Default declaration of generated scanner - a define so the user can
9220 * easily add parameters.
9221 */
9222#ifndef YY_DECL
9223#define YY_DECL_IS_OURS 1
9224
9225extern int vhdlcodeYYlex (void);
9226
9227#define YY_DECL int vhdlcodeYYlex (void)
9228#endif /* !YY_DECL */
9229
9230/* Code executed at the beginning of each rule, after vhdlcodeYYtext and vhdlcodeYYleng
9231 * have been set up.
9232 */
9233#ifndef YY_USER_ACTION
9234#define YY_USER_ACTION
9235#endif
9236
9237/* Code executed at the end of each rule. */
9238#ifndef YY_BREAK
9239#define YY_BREAK break;
9240#endif
9241
9242#define YY_RULE_SETUP \
9243if ( vhdlcodeYYleng > 0 ) \
9244YY_CURRENT_BUFFER_LVALUE->yy_at_bol = \
9245(vhdlcodeYYtext[vhdlcodeYYleng - 1] == '\n'); \
9246YY_USER_ACTION
9247
9248/** The main scanner function which does all the work.
9249 */
9250YY_DECL
9251{
9252register yy_state_type yy_current_state;
9253register char *yy_cp, *yy_bp;
9254register int yy_act;
9255
9256#line 794 "vhdlcode.l"
9257
9258
9259#line 9260 "<stdout>"
9260
9261if ( !(yy_init) )
9262{
9263(yy_init) = 1;
9264
9265#ifdef YY_USER_INIT
9266YY_USER_INIT;
9267#endif
9268
9269if ( ! (yy_start) )
9270(yy_start) = 1;/* first start state */
9271
9272if ( ! vhdlcodeYYin )
9273vhdlcodeYYin = stdin;
9274
9275if ( ! vhdlcodeYYout )
9276vhdlcodeYYout = stdout;
9277
9278if ( ! YY_CURRENT_BUFFER ) {
9279vhdlcodeYYensure_buffer_stack ();
9280YY_CURRENT_BUFFER_LVALUE =
9281vhdlcodeYY_create_buffer(vhdlcodeYYin,YY_BUF_SIZE );
9282}
9283
9284vhdlcodeYY_load_buffer_state( );
9285}
9286
9287while ( 1 )/* loops until end-of-file is reached */
9288{
9289yy_cp = (yy_c_buf_p);
9290
9291/* Support of vhdlcodeYYtext. */
9292*yy_cp = (yy_hold_char);
9293
9294/* yy_bp points to the position in yy_ch_buf of the start of
9295 * the current run.
9296 */
9297yy_bp = yy_cp;
9298
9299yy_current_state = (yy_start);
9300yy_current_state += YY_AT_BOL();
9301yy_match:
9302do
9303{
9304register YY_CHAR yy_c = yy_ec[YY_SC_TO_UI(*yy_cp)];
9305if ( yy_accept[yy_current_state] )
9306{
9307(yy_last_accepting_state) = yy_current_state;
9308(yy_last_accepting_cpos) = yy_cp;
9309}
9310while ( yy_chk[yy_base[yy_current_state] + yy_c] != yy_current_state )
9311{
9312yy_current_state = (int) yy_def[yy_current_state];
9313if ( yy_current_state >= 2913 )
9314yy_c = yy_meta[(unsigned int) yy_c];
9315}
9316yy_current_state = yy_nxt[yy_base[yy_current_state] + (unsigned int) yy_c];
9317++yy_cp;
9318}
9319while ( yy_base[yy_current_state] != 31091 );
9320
9321yy_find_action:
9322yy_act = yy_accept[yy_current_state];
9323if ( yy_act == 0 )
9324{ /* have to back up */
9325yy_cp = (yy_last_accepting_cpos);
9326yy_current_state = (yy_last_accepting_state);
9327yy_act = yy_accept[yy_current_state];
9328}
9329
9330YY_DO_BEFORE_ACTION;
9331
9332do_action:/* This label is used only to access EOF actions. */
9333
9334switch ( yy_act )
9335{ /* beginning of action switch */
9336case 0: /* must back up */
9337/* undo the effects of YY_DO_BEFORE_ACTION */
9338*yy_cp = (yy_hold_char);
9339yy_cp = (yy_last_accepting_cpos);
9340yy_current_state = (yy_last_accepting_state);
9341goto yy_find_action;
9342
9343case 1:
9344YY_RULE_SETUP
9345#line 796 "vhdlcode.l"
9346{
9347 BEGIN(Bases);
9348 }
9349YY_BREAK
9350case 2:
9351YY_RULE_SETUP
9352#line 800 "vhdlcode.l"
9353{
9354 g_braceCount++;
9355 writeFont("vhdlchar",vhdlcodeYYtext);
9356 BEGIN(Map);
9357 }
9358YY_BREAK
9359case 3:
9360YY_RULE_SETUP
9361#line 806 "vhdlcode.l"
9362{ /* write and link a port map lines */
9363 QCString tt(vhdlcodeYYtext);
9364 VhdlDocGen::deleteAllChars(tt,',');
9365 QRegExp r("=>");
9366QStringList ql=QStringList::split(r,tt,FALSE);
9367if (ql.count()>=2)
9368{
9369 unsigned int index=0;
9370 QCString t1=(QCString)ql[0];
9371 char cc=t1.at(index);
9372 while (cc==' ' || cc=='\t')
9373 {
9374 char c2[2];
9375 c2[0]=cc;
9376 c2[1]=0;
9377 g_code->codify(c2);
9378 index++;
9379 if (index>=t1.size()) break;
9380 cc=t1.at(index);
9381 }
9382
9383 QCString s1=(QCString)ql[0];
9384 s1=s1.stripWhiteSpace();
9385
9386 // if (!g_PortMapComp.isEmpty())
9387 generateMemLink(*g_code,g_PortMapComp,s1);
9388 while (index++<t1.size())
9389 {
9390 char cc=t1.at(index);
9391 if (cc==' ' || cc=='\t')
9392 {
9393 char c2[2];
9394 c2[0]=cc;
9395 c2[1]=0;
9396 g_code->codify(c2);
9397 }
9398 }
9399 codifyLines("=>");
9400 index=0;
9401 QCString s2=(QCString)ql[1];
9402 t1=s2;
9403 cc=t1.at(index);
9404 while (cc==' ' || cc=='\t')
9405 {
9406 char c2[2];
9407 c2[0]=cc;
9408 c2[1]=0;
9409 g_code->codify(c2);
9410 index++;
9411 if (index>=t1.size()) break;
9412 cc=t1.at(index);
9413 }
9414 s2=s2.stripWhiteSpace();
9415 if (!checkString(s2))
9416 generateMemLink(*g_code,g_CurrClass,s2);
9417 while (index++<t1.size())
9418 {
9419 if (t1.at(index)==' ')
9420 {
9421 g_code->codify(" ");
9422 }
9423 }
9424}
9425else
9426{
9427 codifyLines(vhdlcodeYYtext,g_CurrClass.data());
9428}
9429BEGIN(Map);
9430 }
9431YY_BREAK
9432case 4:
9433/* rule 4 can match eol */
9434YY_RULE_SETUP
9435#line 876 "vhdlcode.l"
9436{
9437 codifyLines(vhdlcodeYYtext);
9438 BEGIN(Map);
9439 }
9440YY_BREAK
9441case 5:
9442YY_RULE_SETUP
9443#line 881 "vhdlcode.l"
9444{
9445 g_braceCount--;
9446 writeFont("vhdlchar",vhdlcodeYYtext);
9447 if (g_braceCount==0)
9448{
9449 BEGIN(Bases);
9450}
9451 }
9452YY_BREAK
9453case 6:
9454YY_RULE_SETUP
9455#line 890 "vhdlcode.l"
9456{
9457 QCString tmp(vhdlcodeYYtext);
9458 tmp=tmp.stripWhiteSpace();
9459 appStringLower(g_PrevString,vhdlcodeYYtext);
9460 g_vhdlKeyDict.insert(g_PrevString,new QCString(g_PrevString.data()));
9461 if (!writeColoredWord(tmp))
9462 {
9463 generateMemLink(*g_code,g_CurrClass,tmp);
9464 }
9465 BEGIN(Bases);
9466 }
9467YY_BREAK
9468case 7:
9469YY_RULE_SETUP
9470#line 902 "vhdlcode.l"
9471{
9472 QCString qcs(vhdlcodeYYtext);
9473 VhdlDocGen::deleteAllChars(qcs,'"');
9474 VhdlDocGen::deleteAllChars(qcs,' ');
9475 if (VhdlDocGen::isNumber(qcs))
9476 writeFont("vhdllogic",vhdlcodeYYtext);
9477 else
9478 writeFont("keyword",vhdlcodeYYtext);
9479 }
9480YY_BREAK
9481case 8:
9482/* rule 8 can match eol */
9483YY_RULE_SETUP
9484#line 912 "vhdlcode.l"
9485{
9486 g_FuncProto.append(vhdlcodeYYtext);
9487 if (isProto)
9488{
9489 codifyLines(vhdlcodeYYtext);
9490}
9491 BEGIN(ParseType);
9492 }
9493YY_BREAK
9494case 9:
9495YY_RULE_SETUP
9496#line 922 "vhdlcode.l"
9497{
9498 g_FuncProto.append(vhdlcodeYYtext);
9499 if (isProto)
9500 {
9501 writeFont("keyword",vhdlcodeYYtext);
9502 }
9503 BEGIN(ParseType);
9504 }
9505YY_BREAK
9506case 10:
9507/* rule 10 can match eol */
9508YY_RULE_SETUP
9509#line 931 "vhdlcode.l"
9510{
9511 QRegExp regg("[\\s]");
9512 QCString tt(vhdlcodeYYtext);
9513 codifyLines(vhdlcodeYYtext,g_CurrClass.data());
9514 tt=tt.lower();
9515 VhdlDocGen::deleteAllChars(tt,';');
9516 tt.stripWhiteSpace();
9517 QStringList ql=QStringList::split(regg,tt,FALSE);
9518 int index=ql.findIndex(QCString("if"))+1;
9519 index+=ql.findIndex(QCString("case"))+1;
9520 index+=ql.findIndex(QCString("loop"))+1;
9521 index+=ql.findIndex(QCString("generate"))+1;
9522 if (index==0)
9523 {
9524 BEGIN(Bases);
9525 }
9526 else
9527 {
9528 BEGIN(ParseType);
9529 }
9530 }
9531YY_BREAK
9532case 11:
9533/* rule 11 can match eol */
9534YY_RULE_SETUP
9535#line 953 "vhdlcode.l"
9536{
9537 codifyLines(vhdlcodeYYtext,g_CurrClass.data());
9538 g_vhdlKeyDict.clear();
9539 }
9540YY_BREAK
9541case 12:
9542YY_RULE_SETUP
9543#line 958 "vhdlcode.l"
9544{
9545 codifyLines(vhdlcodeYYtext,g_CurrClass.data());
9546 isFuncProto=FALSE;
9547 }
9548YY_BREAK
9549case 13:
9550YY_RULE_SETUP
9551#line 963 "vhdlcode.l"
9552{
9553 g_FuncProto.append(vhdlcodeYYtext);
9554 if (isProto)
9555{
9556 codifyLines(vhdlcodeYYtext,g_CurrClass.data());
9557}
9558 }
9559YY_BREAK
9560case 14:
9561YY_RULE_SETUP
9562#line 971 "vhdlcode.l"
9563{
9564 QCString val(vhdlcodeYYtext);
9565 g_FuncProto.append(vhdlcodeYYtext);
9566 appStringLower(g_PrevString,vhdlcodeYYtext);
9567
9568 if (isFuncProto && g_braceCount==0)
9569 {
9570 g_vhdlKeyDict.insert(g_PrevString,new QCString(g_PrevString.data()));
9571 }
9572
9573 if (isProto)
9574 {
9575 if (!writeColoredWord(val))
9576 {
9577 if (!isFuncProto && !g_vhdlKeyDict.find(g_PrevString))
9578 {
9579 val=val.stripWhiteSpace();
9580 if (VhdlDocGen::isNumber(val))
9581 {
9582startFontClass("vhdllogic");
9583codifyLines(vhdlcodeYYtext,g_CurrClass.data());
9584endFontClass();
9585 }
9586 else
9587 generateMemLink(*g_code,g_CurrClass,val);
9588 }
9589 else
9590 {
9591 codifyLines(vhdlcodeYYtext,g_CurrClass.data());
9592 }
9593 }
9594 }
9595 BEGIN(ParseType);
9596 }
9597YY_BREAK
9598case 15:
9599YY_RULE_SETUP
9600#line 1006 "vhdlcode.l"
9601{
9602 g_braceCount++;
9603 g_FuncProto+='(';
9604 if (isProto)
9605 {
9606 writeFont("vhdlchar",vhdlcodeYYtext);
9607 }
9608 BEGIN(ParseType);
9609 }
9610YY_BREAK
9611case 16:
9612YY_RULE_SETUP
9613#line 1016 "vhdlcode.l"
9614{
9615 g_braceCount--;
9616 g_FuncProto+=')';
9617 if (isProto)
9618{
9619 writeFont("vhdlchar",vhdlcodeYYtext);
9620}
9621 if (g_braceCount==0 && !isProto)// && !isPackageBody)
9622 {
9623 isProto=TRUE;
9624 appStringLower(g_PrevString,vhdlcodeYYtext);
9625 writeFuncProto();
9626 BEGIN(Bases);
9627 }
9628 if (isPackageBody)
9629{
9630 BEGIN(ParseType);
9631}
9632 }
9633YY_BREAK
9634case 17:
9635YY_RULE_SETUP
9636#line 1037 "vhdlcode.l"
9637{
9638 QDict<QCString> mem;
9639 appStringLower(g_PrevString,vhdlcodeYYtext);
9640 g_CurrClass.resize(0);
9641 g_CurrClass.append(vhdlcodeYYtext);
9642 g_CurrClass=g_CurrClass.stripWhiteSpace();
9643
9644 if (!writeColoredWord(g_CurrScope))
9645 {
9646 generateClassOrGlobalLink(*g_code,vhdlcodeYYtext);
9647 }
9648 else
9649 {
9650 codifyLines(vhdlcodeYYtext,g_CurrClass.data());
9651 }
9652 BEGIN(Bases);
9653 }
9654YY_BREAK
9655case 18:
9656YY_RULE_SETUP
9657#line 1056 "vhdlcode.l"
9658{
9659 g_braceCount++;
9660 g_code->codify(vhdlcodeYYtext);
9661 }
9662YY_BREAK
9663case 19:
9664YY_RULE_SETUP
9665#line 1062 "vhdlcode.l"
9666{
9667 g_braceCount--;
9668 g_code->codify(vhdlcodeYYtext);
9669 if (g_braceCount==0 && !isComponent)
9670 {
9671 g_tempComp.resize(0);
9672 BEGIN(Bases);
9673 }
9674 else
9675 {
9676 BEGIN(ParseComponent);
9677 }
9678 }
9679YY_BREAK
9680case 20:
9681YY_RULE_SETUP
9682#line 1076 "vhdlcode.l"
9683{
9684 if (strlen(vhdlcodeYYtext)>=2) // found text ?
9685 {
9686 writeFont("keyword",vhdlcodeYYtext);
9687 }
9688 else
9689 {
9690 writeFont("vhdlchar",vhdlcodeYYtext);
9691 }
9692 }
9693YY_BREAK
9694case 21:
9695YY_RULE_SETUP
9696#line 1087 "vhdlcode.l"
9697{
9698 codifyLines(vhdlcodeYYtext);
9699 }
9700YY_BREAK
9701case 22:
9702/* rule 22 can match eol */
9703YY_RULE_SETUP
9704#line 1093 "vhdlcode.l"
9705{
9706 codifyLines(vhdlcodeYYtext);
9707 }
9708YY_BREAK
9709case 23:
9710YY_RULE_SETUP
9711#line 1097 "vhdlcode.l"
9712{
9713 startFontClass("vhdllogic");
9714 codifyLines(vhdlcodeYYtext);
9715 endFontClass();
9716 }
9717YY_BREAK
9718case 24:
9719/* rule 24 can match eol */
9720YY_RULE_SETUP
9721#line 1103 "vhdlcode.l"
9722{
9723 codifyLines(vhdlcodeYYtext);
9724 g_braceCount=1;
9725 isComponent=FALSE;
9726 }
9727YY_BREAK
9728case 25:
9729/* rule 25 can match eol */
9730YY_RULE_SETUP
9731#line 1109 "vhdlcode.l"
9732{
9733 codifyLines(vhdlcodeYYtext);
9734 g_braceCount=1;
9735 }
9736YY_BREAK
9737case 26:
9738YY_RULE_SETUP
9739#line 1114 "vhdlcode.l"
9740{
9741 QCString temp(vhdlcodeYYtext);
9742 appStringLower(g_PrevString,vhdlcodeYYtext);
9743 if (!checkString(temp)){
9744 if (!writeColoredWord(g_PrevString))
9745 {
9746 generateMemLink(*g_code,g_tempComp,temp);
9747 }
9748 }
9749 }
9750YY_BREAK
9751case 27:
9752YY_RULE_SETUP
9753#line 1125 "vhdlcode.l"
9754{
9755 QCString temp(vhdlcodeYYtext);
9756 if (!checkString(temp))
9757 codifyLines(vhdlcodeYYtext);
9758 }
9759YY_BREAK
9760case 28:
9761/* rule 28 can match eol */
9762YY_RULE_SETUP
9763#line 1132 "vhdlcode.l"
9764{
9765 g_FuncProto.append(vhdlcodeYYtext);
9766 }
9767YY_BREAK
9768case 29:
9769YY_RULE_SETUP
9770#line 1138 "vhdlcode.l"
9771{
9772 g_FuncProto.append(vhdlcodeYYtext);
9773 g_braceCount++;
9774 }
9775YY_BREAK
9776case 30:
9777YY_RULE_SETUP
9778#line 1143 "vhdlcode.l"
9779{
9780 g_FuncProto.append(vhdlcodeYYtext);
9781 g_braceCount--;
9782 if (g_braceCount==0)
9783 {
9784 writeProcessProto();
9785 BEGIN(Bases);
9786 }
9787 }
9788YY_BREAK
9789case 31:
9790/* rule 31 can match eol */
9791YY_RULE_SETUP
9792#line 1153 "vhdlcode.l"
9793{ //found package
9794 QCString temp(vhdlcodeYYtext);
9795 QStringList strl=QStringList::split(".",temp,FALSE);
9796
9797 if (strl.count()>2)
9798 {
9799 QCString s1=(QCString)strl[0];
9800 QCString s2=(QCString)strl[1];
9801 QCString s3=(QCString)strl[2];
9802 s1.append(".");
9803 s3.prepend(".");
9804 codifyLines(s1.data(),g_CurrClass.data());
9805 ClassDef *cd=VhdlDocGen::getPackageName(s2);
9806 if (cd)
9807 {
9808 generateClassOrGlobalLink(*g_code,s2.data());
9809 }
9810 else
9811 {
9812 codifyLines(s2.data());
9813 }
9814 codifyLines(s3.data());
9815 }
9816 else
9817 {
9818 writeFont("keywordflow",vhdlcodeYYtext);
9819 }
9820 BEGIN(Bases);
9821 }
9822YY_BREAK
9823case 32:
9824/* rule 32 can match eol */
9825YY_RULE_SETUP
9826#line 1183 "vhdlcode.l"
9827{ // found port or generic map
9828 QCString tt(vhdlcodeYYtext);
9829 /*
9830 if (tt.contains(':',FALSE))
9831 {
9832 isStartMap=TRUE;
9833 }
9834 else
9835 {
9836 isStartMap=FALSE;
9837 }
9838 */
9839 int j=tt.find('.');
9840
9841 if (j>0)
9842 {
9843 QCString left=tt.left(j+1);
9844 codifyLines(left.data());
9845 tt=tt.right(tt.length()-j-1);
9846 left=VhdlDocGen::getIndexWord(tt.data(),0);
9847 if (!left.isEmpty())
9848 {
9849 if (left.contains('('))
9850 {
9851 j=left.find('(',FALSE);
9852 QCString name=left.left(j);
9853 generateClassOrGlobalLink(*g_code,name.data());
9854 g_PortMapComp=name;
9855 name=tt.right(tt.length()-name.length());
9856 codifyLines(name.data());
9857 }
9858 else
9859 {
9860 generateClassOrGlobalLink(*g_code,left.data());
9861 tt=tt.right(tt.length()-left.length()-1);
9862 tt.prepend(" ");
9863 g_PortMapComp=left;
9864 codifyLines(tt.data());
9865 }
9866 }
9867 }
9868 else
9869 {
9870 if (tt.contains(':',FALSE))
9871 codifyMapLines(tt.data());
9872 else
9873 codifyLines(tt.data());
9874 }
9875 g_braceCount=1;
9876 BEGIN(Map);
9877 }
9878YY_BREAK
9879case 33:
9880/* rule 33 can match eol */
9881YY_RULE_SETUP
9882#line 1235 "vhdlcode.l"
9883{ // found component
9884 appStringLower(g_PrevString,vhdlcodeYYtext);
9885 // writeFont("keywordflow",VhdlDocGen::getIndexWord(vhdlcodeYYtext,0).data());
9886 // writeFont("vhdlkeyword"," ");
9887 QCString temp=VhdlDocGen::getIndexWord(vhdlcodeYYtext,1);
9888 temp=temp.stripWhiteSpace();
9889 VhdlDocGen::deleteAllChars(temp,'\n');
9890 g_tempComp=temp;
9891 codifyLines(vhdlcodeYYtext,temp.data(),TRUE);
9892 g_braceCount=0;
9893
9894 //if (getClass(temp.data()))
9895 // generateClassOrGlobalLink(*g_code,temp.data());
9896 //else
9897 // generateMemLink(*g_code,g_CurrClass,temp);
9898
9899 isComponent=TRUE;
9900 BEGIN(ParseComponent);
9901 }
9902YY_BREAK
9903case 34:
9904/* rule 34 can match eol */
9905YY_RULE_SETUP
9906#line 1257 "vhdlcode.l"
9907{ // found architecture
9908 g_PortMapComp.resize(0);
9909 // writeFont("vhdlkeyword",VhdlDocGen::getIndexWord(vhdlcodeYYtext,0).data());
9910 // writeFont("vhdlkeyword"," ");
9911 // writeFont("vhdlchar",VhdlDocGen::getIndexWord(vhdlcodeYYtext,1).data());
9912 // writeFont("vhdlkeyword"," ");
9913 // writeFont("vhdlkeyword",VhdlDocGen::getIndexWord(vhdlcodeYYtext,2).data());
9914 // writeFont("vhdlkeyword"," ");
9915 //QCString temp=VhdlDocGen::getIndexWord(vhdlcodeYYtext,1);
9916 //temp=temp.stripWhiteSpace();
9917 //temp+=("-");
9918 //temp+=VhdlDocGen::getIndexWord(vhdlcodeYYtext,3);
9919 QCString temp = VhdlDocGen::getIndexWord(vhdlcodeYYtext,3);
9920 temp+="::";
9921 temp+=VhdlDocGen::getIndexWord(vhdlcodeYYtext,1);
9922 g_CurrClass=temp;
9923 VhdlDocGen::deleteAllChars(temp,'\n');
9924 codifyLines(vhdlcodeYYtext,temp.data(),TRUE);
9925 //generateClassOrGlobalLink(*g_code,temp.data());
9926 isPackageBody=FALSE;
9927 BEGIN(ClassName);
9928 }
9929YY_BREAK
9930case 35:
9931/* rule 35 can match eol */
9932YY_RULE_SETUP
9933#line 1281 "vhdlcode.l"
9934{ // found package body
9935 QCString ss(vhdlcodeYYtext);
9936 QCString temp=VhdlDocGen::getIndexWord(vhdlcodeYYtext,2);
9937 QStringList ql=QStringList::split(temp,ss,FALSE);
9938 QCString ll=(QCString)ql[0];
9939 codifyLines(ll.data(),g_CurrClass.data());
9940 temp=temp.stripWhiteSpace();
9941 temp.prepend("_");
9942 generateClassOrGlobalLink(*g_code,temp.data());
9943 g_CurrClass.resize(0);
9944 g_CurrClass=temp;
9945 isProto=FALSE;
9946 isPackageBody=TRUE;
9947 // BEGIN(ClassesName);
9948 }
9949YY_BREAK
9950case 36:
9951/* rule 36 can match eol */
9952YY_RULE_SETUP
9953#line 1297 "vhdlcode.l"
9954{ // found process
9955 isFuncProto=TRUE;
9956 g_FuncProto.resize(0);
9957 g_FuncProto.append(vhdlcodeYYtext);
9958 g_vhdlKeyDict.clear();
9959 appStringLower(g_PrevString,vhdlcodeYYtext);
9960 if (g_PrevString.contains('('))
9961 {
9962 g_braceCount=1;
9963 BEGIN(ParseProcessProto);
9964 }
9965 else
9966 {
9967 writeProcessProto();
9968 }
9969 }
9970YY_BREAK
9971case 37:
9972/* rule 37 can match eol */
9973YY_RULE_SETUP
9974#line 1314 "vhdlcode.l"
9975{ // end of process
9976 isFuncProto=FALSE;
9977 codifyLines(vhdlcodeYYtext);
9978 BEGIN(Bases);
9979 }
9980YY_BREAK
9981case 38:
9982YY_RULE_SETUP
9983#line 1321 "vhdlcode.l"
9984{
9985 isFuncProto=FALSE;
9986 writeFont("vhdlkeyword",vhdlcodeYYtext);
9987 }
9988YY_BREAK
9989case 39:
9990/* rule 39 can match eol */
9991YY_RULE_SETUP
9992#line 1326 "vhdlcode.l"
9993{ //found package or library
9994 writeFont("vhdlkeyword",vhdlcodeYYtext);
9995 BEGIN(ParsePackage);
9996 }
9997YY_BREAK
9998case 40:
9999/* rule 40 can match eol */
10000YY_RULE_SETUP
10001#line 1332 "vhdlcode.l"
10002{
10003 codifyLines(vhdlcodeYYtext);
10004 }
10005YY_BREAK
10006case 41:
10007/* rule 41 can match eol */
10008YY_RULE_SETUP
10009#line 1338 "vhdlcode.l"
10010{ // found function|procedure
10011 g_vhdlKeyDict.clear();
10012 g_FuncProto.resize(0);
10013 isProto=FALSE;
10014 g_FuncProto.append(vhdlcodeYYtext);
10015 g_braceCount=1;
10016 BEGIN(ParseType);
10017 }
10018YY_BREAK
10019case 42:
10020/* rule 42 can match eol */
10021YY_RULE_SETUP
10022#line 1349 "vhdlcode.l"
10023{
10024 appStringLower(g_PrevString,vhdlcodeYYtext);
10025 writeFont("keywordflow",vhdlcodeYYtext);
10026 isPackageBody=FALSE;
10027 BEGIN(ClassesName);
10028 }
10029YY_BREAK
10030case 43:
10031YY_RULE_SETUP
10032#line 1357 "vhdlcode.l"
10033{ // found keyword
10034 QCString qcs(vhdlcodeYYtext);
10035 if (!writeColoredWord(qcs))
10036 {
10037 startFontClass("vhdlchar");
10038 g_code->codify(vhdlcodeYYtext);
10039 endFontClass();
10040 }
10041 }
10042YY_BREAK
10043case 44:
10044YY_RULE_SETUP
10045#line 1368 "vhdlcode.l"
10046{
10047 appStringLower(g_PrevString,vhdlcodeYYtext);
10048 QCString temp(vhdlcodeYYtext);
10049 temp=temp.stripWhiteSpace();
10050
10051 if (!writeColoredWord(temp))
10052 {
10053 startFontClass("vhdlchar");
10054 generateMemLink(*g_code,g_CurrClass,temp);
10055 endFontClass();
10056 }
10057 }
10058YY_BREAK
10059case 45:
10060YY_RULE_SETUP
10061#line 1381 "vhdlcode.l"
10062{
10063 startFontClass("vhdllogic");
10064 codifyLines(vhdlcodeYYtext);
10065 endFontClass();
10066 }
10067YY_BREAK
10068case 46:
10069/* rule 46 can match eol */
10070YY_RULE_SETUP
10071#line 1387 "vhdlcode.l"
10072{
10073 codifyLines(vhdlcodeYYtext,g_CurrClass.data(),TRUE);
10074 }
10075YY_BREAK
10076case 47:
10077YY_RULE_SETUP
10078#line 1392 "vhdlcode.l"
10079{
10080 codifyLines(vhdlcodeYYtext);
10081 if (isFuncProto)
10082 {
10083 BEGIN(ParseFuncProto);
10084 }
10085 else
10086 {
10087 BEGIN(Bases);
10088 }
10089 }
10090YY_BREAK
10091case 48:
10092YY_RULE_SETUP
10093#line 1404 "vhdlcode.l"
10094{
10095 startFontClass("vhdlchar");
10096 g_code->codify(vhdlcodeYYtext);
10097 endFontClass();
10098 }
10099YY_BREAK
10100case 49:
10101YY_RULE_SETUP
10102#line 1410 "vhdlcode.l"
10103{
10104 startFontClass("vhdlchar");
10105 g_code->codify(vhdlcodeYYtext);
10106 endFontClass();
10107 }
10108YY_BREAK
10109case 50:
10110YY_RULE_SETUP
10111#line 1416 "vhdlcode.l"
10112{
10113 QCString qcs(vhdlcodeYYtext);
10114 VhdlDocGen::deleteAllChars(qcs,'"');
10115 VhdlDocGen::deleteAllChars(qcs,' ');
10116
10117 if (VhdlDocGen::isNumber(qcs))
10118 writeFont("vhdllogic",vhdlcodeYYtext);
10119 else
10120 writeFont("keyword",vhdlcodeYYtext);
10121 }
10122YY_BREAK
10123case 51:
10124/* rule 51 can match eol */
10125YY_RULE_SETUP
10126#line 1427 "vhdlcode.l"
10127{
10128 codifyLines(vhdlcodeYYtext);
10129 BEGIN(Bases);
10130 }
10131YY_BREAK
10132case 52:
10133YY_RULE_SETUP
10134#line 1432 "vhdlcode.l"
10135{
10136 g_code->codify(vhdlcodeYYtext);
10137 }
10138YY_BREAK
10139case 53:
10140/* rule 53 can match eol */
10141YY_RULE_SETUP
10142#line 1436 "vhdlcode.l"
10143{ // found normal or special comment on its own line
10144 QCString text(vhdlcodeYYtext);
10145 int i=text.find("--");
10146 if (text.mid(i,3)=="--!" && // hide special comment
10147 Config_getBool("STRIP_CODE_COMMENTS"))
10148 {
10149 g_yyLineNr++; // skip complete line
10150 }
10151 else // normal comment
10152 {
10153 startFontClass("comment");
10154 codifyLines(text);
10155 endFontClass();
10156 }
10157 }
10158YY_BREAK
10159case 54:
10160YY_RULE_SETUP
10161#line 1451 "vhdlcode.l"
10162{ // found normal or special comment after something
10163 QCString text(vhdlcodeYYtext);
10164 int i=text.find("--");
10165 if (text.mid(i,3)=="--!" &&
10166 Config_getBool("STRIP_CODE_COMMENTS"))
10167 {
10168 // hide special comment
10169 }
10170 else // normal comment
10171 {
10172 startFontClass("comment");
10173 codifyLines(text);
10174 endFontClass();
10175 }
10176 }
10177YY_BREAK
10178case 55:
10179YY_RULE_SETUP
10180#line 1468 "vhdlcode.l"
10181ECHO;
10182YY_BREAK
10183#line 10184 "<stdout>"
10184case YY_STATE_EOF(INITIAL):
10185case YY_STATE_EOF(Bases):
10186case YY_STATE_EOF(ParseType):
10187case YY_STATE_EOF(ParseFuncProto):
10188case YY_STATE_EOF(ParseComponent):
10189case YY_STATE_EOF(ParsePackage):
10190case YY_STATE_EOF(ParseProcessProto):
10191case YY_STATE_EOF(ClassName):
10192case YY_STATE_EOF(PackageName):
10193case YY_STATE_EOF(ClassVar):
10194case YY_STATE_EOF(ClassesName):
10195case YY_STATE_EOF(Map):
10196case YY_STATE_EOF(Body):
10197yyterminate();
10198
10199case YY_END_OF_BUFFER:
10200{
10201/* Amount of text matched not including the EOB char. */
10202int yy_amount_of_matched_text = (int) (yy_cp - (yytext_ptr)) - 1;
10203
10204/* Undo the effects of YY_DO_BEFORE_ACTION. */
10205*yy_cp = (yy_hold_char);
10206YY_RESTORE_YY_MORE_OFFSET
10207
10208if ( YY_CURRENT_BUFFER_LVALUE->yy_buffer_status == YY_BUFFER_NEW )
10209{
10210/* We're scanning a new file or input source. It's
10211 * possible that this happened because the user
10212 * just pointed vhdlcodeYYin at a new source and called
10213 * vhdlcodeYYlex(). If so, then we have to assure
10214 * consistency between YY_CURRENT_BUFFER and our
10215 * globals. Here is the right place to do so, because
10216 * this is the first action (other than possibly a
10217 * back-up) that will match for the new input source.
10218 */
10219(yy_n_chars) = YY_CURRENT_BUFFER_LVALUE->yy_n_chars;
10220YY_CURRENT_BUFFER_LVALUE->yy_input_file = vhdlcodeYYin;
10221YY_CURRENT_BUFFER_LVALUE->yy_buffer_status = YY_BUFFER_NORMAL;
10222}
10223
10224/* Note that here we test for yy_c_buf_p "<=" to the position
10225 * of the first EOB in the buffer, since yy_c_buf_p will
10226 * already have been incremented past the NUL character
10227 * (since all states make transitions on EOB to the
10228 * end-of-buffer state). Contrast this with the test
10229 * in input().
10230 */
10231if ( (yy_c_buf_p) <= &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars)] )
10232{ /* This was really a NUL. */
10233yy_state_type yy_next_state;
10234
10235(yy_c_buf_p) = (yytext_ptr) + yy_amount_of_matched_text;
10236
10237yy_current_state = yy_get_previous_state( );
10238
10239/* Okay, we're now positioned to make the NUL
10240 * transition. We couldn't have
10241 * yy_get_previous_state() go ahead and do it
10242 * for us because it doesn't know how to deal
10243 * with the possibility of jamming (and we don't
10244 * want to build jamming into it because then it
10245 * will run more slowly).
10246 */
10247
10248yy_next_state = yy_try_NUL_trans( yy_current_state );
10249
10250yy_bp = (yytext_ptr) + YY_MORE_ADJ;
10251
10252if ( yy_next_state )
10253{
10254/* Consume the NUL. */
10255yy_cp = ++(yy_c_buf_p);
10256yy_current_state = yy_next_state;
10257goto yy_match;
10258}
10259
10260else
10261{
10262yy_cp = (yy_c_buf_p);
10263goto yy_find_action;
10264}
10265}
10266
10267else switch ( yy_get_next_buffer( ) )
10268{
10269case EOB_ACT_END_OF_FILE:
10270{
10271(yy_did_buffer_switch_on_eof) = 0;
10272
10273if ( vhdlcodeYYwrap( ) )
10274{
10275/* Note: because we've taken care in
10276 * yy_get_next_buffer() to have set up
10277 * vhdlcodeYYtext, we can now set up
10278 * yy_c_buf_p so that if some total
10279 * hoser (like flex itself) wants to
10280 * call the scanner after we return the
10281 * YY_NULL, it'll still work - another
10282 * YY_NULL will get returned.
10283 */
10284(yy_c_buf_p) = (yytext_ptr) + YY_MORE_ADJ;
10285
10286yy_act = YY_STATE_EOF(YY_START);
10287goto do_action;
10288}
10289
10290else
10291{
10292if ( ! (yy_did_buffer_switch_on_eof) )
10293YY_NEW_FILE;
10294}
10295break;
10296}
10297
10298case EOB_ACT_CONTINUE_SCAN:
10299(yy_c_buf_p) =
10300(yytext_ptr) + yy_amount_of_matched_text;
10301
10302yy_current_state = yy_get_previous_state( );
10303
10304yy_cp = (yy_c_buf_p);
10305yy_bp = (yytext_ptr) + YY_MORE_ADJ;
10306goto yy_match;
10307
10308case EOB_ACT_LAST_MATCH:
10309(yy_c_buf_p) =
10310&YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars)];
10311
10312yy_current_state = yy_get_previous_state( );
10313
10314yy_cp = (yy_c_buf_p);
10315yy_bp = (yytext_ptr) + YY_MORE_ADJ;
10316goto yy_find_action;
10317}
10318break;
10319}
10320
10321default:
10322YY_FATAL_ERROR(
10323"fatal flex scanner internal error--no action found" );
10324} /* end of action switch */
10325} /* end of scanning one token */
10326} /* end of vhdlcodeYYlex */
10327
10328/* yy_get_next_buffer - try to read in a new buffer
10329 *
10330 * Returns a code representing an action:
10331 *EOB_ACT_LAST_MATCH -
10332 *EOB_ACT_CONTINUE_SCAN - continue scanning from current position
10333 *EOB_ACT_END_OF_FILE - end of file
10334 */
10335static int yy_get_next_buffer (void)
10336{
10337 register char *dest = YY_CURRENT_BUFFER_LVALUE->yy_ch_buf;
10338register char *source = (yytext_ptr);
10339register int number_to_move, i;
10340int ret_val;
10341
10342if ( (yy_c_buf_p) > &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars) + 1] )
10343YY_FATAL_ERROR(
10344"fatal flex scanner internal error--end of buffer missed" );
10345
10346if ( YY_CURRENT_BUFFER_LVALUE->yy_fill_buffer == 0 )
10347{ /* Don't try to fill the buffer, so this is an EOF. */
10348if ( (yy_c_buf_p) - (yytext_ptr) - YY_MORE_ADJ == 1 )
10349{
10350/* We matched a single character, the EOB, so
10351 * treat this as a final EOF.
10352 */
10353return EOB_ACT_END_OF_FILE;
10354}
10355
10356else
10357{
10358/* We matched some text prior to the EOB, first
10359 * process it.
10360 */
10361return EOB_ACT_LAST_MATCH;
10362}
10363}
10364
10365/* Try to read more data. */
10366
10367/* First move last chars to start of buffer. */
10368number_to_move = (int) ((yy_c_buf_p) - (yytext_ptr)) - 1;
10369
10370for ( i = 0; i < number_to_move; ++i )
10371*(dest++) = *(source++);
10372
10373if ( YY_CURRENT_BUFFER_LVALUE->yy_buffer_status == YY_BUFFER_EOF_PENDING )
10374/* don't do the read, it's not guaranteed to return an EOF,
10375 * just force an EOF
10376 */
10377YY_CURRENT_BUFFER_LVALUE->yy_n_chars = (yy_n_chars) = 0;
10378
10379else
10380{
10381yy_size_t num_to_read =
10382YY_CURRENT_BUFFER_LVALUE->yy_buf_size - number_to_move - 1;
10383
10384while ( num_to_read <= 0 )
10385{ /* Not enough room in the buffer - grow it. */
10386
10387/* just a shorter name for the current buffer */
10388YY_BUFFER_STATE b = YY_CURRENT_BUFFER;
10389
10390int yy_c_buf_p_offset =
10391(int) ((yy_c_buf_p) - b->yy_ch_buf);
10392
10393if ( b->yy_is_our_buffer )
10394{
10395yy_size_t new_size = b->yy_buf_size * 2;
10396
10397if ( new_size <= 0 )
10398b->yy_buf_size += b->yy_buf_size / 8;
10399else
10400b->yy_buf_size *= 2;
10401
10402b->yy_ch_buf = (char *)
10403/* Include room in for 2 EOB chars. */
10404vhdlcodeYYrealloc((void *) b->yy_ch_buf,b->yy_buf_size + 2 );
10405}
10406else
10407/* Can't grow it, we don't own it. */
10408b->yy_ch_buf = 0;
10409
10410if ( ! b->yy_ch_buf )
10411YY_FATAL_ERROR(
10412"fatal error - scanner input buffer overflow" );
10413
10414(yy_c_buf_p) = &b->yy_ch_buf[yy_c_buf_p_offset];
10415
10416num_to_read = YY_CURRENT_BUFFER_LVALUE->yy_buf_size -
10417number_to_move - 1;
10418
10419}
10420
10421if ( num_to_read > YY_READ_BUF_SIZE )
10422num_to_read = YY_READ_BUF_SIZE;
10423
10424/* Read in more data. */
10425YY_INPUT( (&YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[number_to_move]),
10426(yy_n_chars), num_to_read );
10427
10428YY_CURRENT_BUFFER_LVALUE->yy_n_chars = (yy_n_chars);
10429}
10430
10431if ( (yy_n_chars) == 0 )
10432{
10433if ( number_to_move == YY_MORE_ADJ )
10434{
10435ret_val = EOB_ACT_END_OF_FILE;
10436vhdlcodeYYrestart(vhdlcodeYYin );
10437}
10438
10439else
10440{
10441ret_val = EOB_ACT_LAST_MATCH;
10442YY_CURRENT_BUFFER_LVALUE->yy_buffer_status =
10443YY_BUFFER_EOF_PENDING;
10444}
10445}
10446
10447else
10448ret_val = EOB_ACT_CONTINUE_SCAN;
10449
10450if ((yy_size_t) ((yy_n_chars) + number_to_move) > YY_CURRENT_BUFFER_LVALUE->yy_buf_size) {
10451/* Extend the array by 50%, plus the number we really need. */
10452yy_size_t new_size = (yy_n_chars) + number_to_move + ((yy_n_chars) >> 1);
10453YY_CURRENT_BUFFER_LVALUE->yy_ch_buf = (char *) vhdlcodeYYrealloc((void *) YY_CURRENT_BUFFER_LVALUE->yy_ch_buf,new_size );
10454if ( ! YY_CURRENT_BUFFER_LVALUE->yy_ch_buf )
10455YY_FATAL_ERROR( "out of dynamic memory in yy_get_next_buffer()" );
10456}
10457
10458(yy_n_chars) += number_to_move;
10459YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars)] = YY_END_OF_BUFFER_CHAR;
10460YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars) + 1] = YY_END_OF_BUFFER_CHAR;
10461
10462(yytext_ptr) = &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[0];
10463
10464return ret_val;
10465}
10466
10467/* yy_get_previous_state - get the state just before the EOB char was reached */
10468
10469 static yy_state_type yy_get_previous_state (void)
10470{
10471register yy_state_type yy_current_state;
10472register char *yy_cp;
10473
10474yy_current_state = (yy_start);
10475yy_current_state += YY_AT_BOL();
10476
10477for ( yy_cp = (yytext_ptr) + YY_MORE_ADJ; yy_cp < (yy_c_buf_p); ++yy_cp )
10478{
10479register YY_CHAR yy_c = (*yy_cp ? yy_ec[YY_SC_TO_UI(*yy_cp)] : 1);
10480if ( yy_accept[yy_current_state] )
10481{
10482(yy_last_accepting_state) = yy_current_state;
10483(yy_last_accepting_cpos) = yy_cp;
10484}
10485while ( yy_chk[yy_base[yy_current_state] + yy_c] != yy_current_state )
10486{
10487yy_current_state = (int) yy_def[yy_current_state];
10488if ( yy_current_state >= 2913 )
10489yy_c = yy_meta[(unsigned int) yy_c];
10490}
10491yy_current_state = yy_nxt[yy_base[yy_current_state] + (unsigned int) yy_c];
10492}
10493
10494return yy_current_state;
10495}
10496
10497/* yy_try_NUL_trans - try to make a transition on the NUL character
10498 *
10499 * synopsis
10500 *next_state = yy_try_NUL_trans( current_state );
10501 */
10502 static yy_state_type yy_try_NUL_trans (yy_state_type yy_current_state )
10503{
10504register int yy_is_jam;
10505 register char *yy_cp = (yy_c_buf_p);
10506
10507register YY_CHAR yy_c = 1;
10508if ( yy_accept[yy_current_state] )
10509{
10510(yy_last_accepting_state) = yy_current_state;
10511(yy_last_accepting_cpos) = yy_cp;
10512}
10513while ( yy_chk[yy_base[yy_current_state] + yy_c] != yy_current_state )
10514{
10515yy_current_state = (int) yy_def[yy_current_state];
10516if ( yy_current_state >= 2913 )
10517yy_c = yy_meta[(unsigned int) yy_c];
10518}
10519yy_current_state = yy_nxt[yy_base[yy_current_state] + (unsigned int) yy_c];
10520yy_is_jam = (yy_current_state == 2912);
10521
10522return yy_is_jam ? 0 : yy_current_state;
10523}
10524
10525#ifndef YY_NO_INPUT
10526#ifdef __cplusplus
10527 static int yyinput (void)
10528#else
10529 static int input (void)
10530#endif
10531
10532{
10533int c;
10534
10535*(yy_c_buf_p) = (yy_hold_char);
10536
10537if ( *(yy_c_buf_p) == YY_END_OF_BUFFER_CHAR )
10538{
10539/* yy_c_buf_p now points to the character we want to return.
10540 * If this occurs *before* the EOB characters, then it's a
10541 * valid NUL; if not, then we've hit the end of the buffer.
10542 */
10543if ( (yy_c_buf_p) < &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars)] )
10544/* This was really a NUL. */
10545*(yy_c_buf_p) = '\0';
10546
10547else
10548{ /* need more input */
10549yy_size_t offset = (yy_c_buf_p) - (yytext_ptr);
10550++(yy_c_buf_p);
10551
10552switch ( yy_get_next_buffer( ) )
10553{
10554case EOB_ACT_LAST_MATCH:
10555/* This happens because yy_g_n_b()
10556 * sees that we've accumulated a
10557 * token and flags that we need to
10558 * try matching the token before
10559 * proceeding. But for input(),
10560 * there's no matching to consider.
10561 * So convert the EOB_ACT_LAST_MATCH
10562 * to EOB_ACT_END_OF_FILE.
10563 */
10564
10565/* Reset buffer status. */
10566vhdlcodeYYrestart(vhdlcodeYYin );
10567
10568/*FALLTHROUGH*/
10569
10570case EOB_ACT_END_OF_FILE:
10571{
10572if ( vhdlcodeYYwrap( ) )
10573return 0;
10574
10575if ( ! (yy_did_buffer_switch_on_eof) )
10576YY_NEW_FILE;
10577#ifdef __cplusplus
10578return yyinput();
10579#else
10580return input();
10581#endif
10582}
10583
10584case EOB_ACT_CONTINUE_SCAN:
10585(yy_c_buf_p) = (yytext_ptr) + offset;
10586break;
10587}
10588}
10589}
10590
10591c = *(unsigned char *) (yy_c_buf_p);/* cast for 8-bit char's */
10592*(yy_c_buf_p) = '\0';/* preserve vhdlcodeYYtext */
10593(yy_hold_char) = *++(yy_c_buf_p);
10594
10595YY_CURRENT_BUFFER_LVALUE->yy_at_bol = (c == '\n');
10596
10597return c;
10598}
10599#endif/* ifndef YY_NO_INPUT */
10600
10601/** Immediately switch to a different input stream.
10602 * @param input_file A readable stream.
10603 *
10604 * @note This function does not reset the start condition to @c INITIAL .
10605 */
10606 void vhdlcodeYYrestart (FILE * input_file )
10607{
10608
10609if ( ! YY_CURRENT_BUFFER ){
10610 vhdlcodeYYensure_buffer_stack ();
10611YY_CURRENT_BUFFER_LVALUE =
10612 vhdlcodeYY_create_buffer(vhdlcodeYYin,YY_BUF_SIZE );
10613}
10614
10615vhdlcodeYY_init_buffer(YY_CURRENT_BUFFER,input_file );
10616vhdlcodeYY_load_buffer_state( );
10617}
10618
10619/** Switch to a different input buffer.
10620 * @param new_buffer The new input buffer.
10621 *
10622 */
10623 void vhdlcodeYY_switch_to_buffer (YY_BUFFER_STATE new_buffer )
10624{
10625
10626/* TODO. We should be able to replace this entire function body
10627 * with
10628 *vhdlcodeYYpop_buffer_state();
10629 *vhdlcodeYYpush_buffer_state(new_buffer);
10630 */
10631vhdlcodeYYensure_buffer_stack ();
10632if ( YY_CURRENT_BUFFER == new_buffer )
10633return;
10634
10635if ( YY_CURRENT_BUFFER )
10636{
10637/* Flush out information for old buffer. */
10638*(yy_c_buf_p) = (yy_hold_char);
10639YY_CURRENT_BUFFER_LVALUE->yy_buf_pos = (yy_c_buf_p);
10640YY_CURRENT_BUFFER_LVALUE->yy_n_chars = (yy_n_chars);
10641}
10642
10643YY_CURRENT_BUFFER_LVALUE = new_buffer;
10644vhdlcodeYY_load_buffer_state( );
10645
10646/* We don't actually know whether we did this switch during
10647 * EOF (vhdlcodeYYwrap()) processing, but the only time this flag
10648 * is looked at is after vhdlcodeYYwrap() is called, so it's safe
10649 * to go ahead and always set it.
10650 */
10651(yy_did_buffer_switch_on_eof) = 1;
10652}
10653
10654static void vhdlcodeYY_load_buffer_state (void)
10655{
10656 (yy_n_chars) = YY_CURRENT_BUFFER_LVALUE->yy_n_chars;
10657(yytext_ptr) = (yy_c_buf_p) = YY_CURRENT_BUFFER_LVALUE->yy_buf_pos;
10658vhdlcodeYYin = YY_CURRENT_BUFFER_LVALUE->yy_input_file;
10659(yy_hold_char) = *(yy_c_buf_p);
10660}
10661
10662/** Allocate and initialize an input buffer state.
10663 * @param file A readable stream.
10664 * @param size The character buffer size in bytes. When in doubt, use @c YY_BUF_SIZE.
10665 *
10666 * @return the allocated buffer state.
10667 */
10668 YY_BUFFER_STATE vhdlcodeYY_create_buffer (FILE * file, int size )
10669{
10670YY_BUFFER_STATE b;
10671
10672b = (YY_BUFFER_STATE) vhdlcodeYYalloc(sizeof( struct yy_buffer_state ) );
10673if ( ! b )
10674YY_FATAL_ERROR( "out of dynamic memory in vhdlcodeYY_create_buffer()" );
10675
10676b->yy_buf_size = size;
10677
10678/* yy_ch_buf has to be 2 characters longer than the size given because
10679 * we need to put in 2 end-of-buffer characters.
10680 */
10681b->yy_ch_buf = (char *) vhdlcodeYYalloc(b->yy_buf_size + 2 );
10682if ( ! b->yy_ch_buf )
10683YY_FATAL_ERROR( "out of dynamic memory in vhdlcodeYY_create_buffer()" );
10684
10685b->yy_is_our_buffer = 1;
10686
10687vhdlcodeYY_init_buffer(b,file );
10688
10689return b;
10690}
10691
10692/** Destroy the buffer.
10693 * @param b a buffer created with vhdlcodeYY_create_buffer()
10694 *
10695 */
10696 void vhdlcodeYY_delete_buffer (YY_BUFFER_STATE b )
10697{
10698
10699if ( ! b )
10700return;
10701
10702if ( b == YY_CURRENT_BUFFER ) /* Not sure if we should pop here. */
10703YY_CURRENT_BUFFER_LVALUE = (YY_BUFFER_STATE) 0;
10704
10705if ( b->yy_is_our_buffer )
10706vhdlcodeYYfree((void *) b->yy_ch_buf );
10707
10708vhdlcodeYYfree((void *) b );
10709}
10710
10711#ifndef __cplusplus
10712extern int isatty (int );
10713#endif /* __cplusplus */
10714
10715/* Initializes or reinitializes a buffer.
10716 * This function is sometimes called more than once on the same buffer,
10717 * such as during a vhdlcodeYYrestart() or at EOF.
10718 */
10719 static void vhdlcodeYY_init_buffer (YY_BUFFER_STATE b, FILE * file )
10720
10721{
10722int oerrno = errno;
10723
10724vhdlcodeYY_flush_buffer(b );
10725
10726b->yy_input_file = file;
10727b->yy_fill_buffer = 1;
10728
10729 /* If b is the current buffer, then vhdlcodeYY_init_buffer was _probably_
10730 * called from vhdlcodeYYrestart() or through yy_get_next_buffer.
10731 * In that case, we don't want to reset the lineno or column.
10732 */
10733 if (b != YY_CURRENT_BUFFER){
10734 b->yy_bs_lineno = 1;
10735 b->yy_bs_column = 0;
10736 }
10737
10738 b->yy_is_interactive = file ? (isatty( fileno(file) ) > 0) : 0;
10739
10740errno = oerrno;
10741}
10742
10743/** Discard all buffered characters. On the next scan, YY_INPUT will be called.
10744 * @param b the buffer state to be flushed, usually @c YY_CURRENT_BUFFER.
10745 *
10746 */
10747 void vhdlcodeYY_flush_buffer (YY_BUFFER_STATE b )
10748{
10749 if ( ! b )
10750return;
10751
10752b->yy_n_chars = 0;
10753
10754/* We always need two end-of-buffer characters. The first causes
10755 * a transition to the end-of-buffer state. The second causes
10756 * a jam in that state.
10757 */
10758b->yy_ch_buf[0] = YY_END_OF_BUFFER_CHAR;
10759b->yy_ch_buf[1] = YY_END_OF_BUFFER_CHAR;
10760
10761b->yy_buf_pos = &b->yy_ch_buf[0];
10762
10763b->yy_at_bol = 1;
10764b->yy_buffer_status = YY_BUFFER_NEW;
10765
10766if ( b == YY_CURRENT_BUFFER )
10767vhdlcodeYY_load_buffer_state( );
10768}
10769
10770/** Pushes the new state onto the stack. The new state becomes
10771 * the current state. This function will allocate the stack
10772 * if necessary.
10773 * @param new_buffer The new state.
10774 *
10775 */
10776void vhdlcodeYYpush_buffer_state (YY_BUFFER_STATE new_buffer )
10777{
10778 if (new_buffer == NULL)
10779return;
10780
10781vhdlcodeYYensure_buffer_stack();
10782
10783/* This block is copied from vhdlcodeYY_switch_to_buffer. */
10784if ( YY_CURRENT_BUFFER )
10785{
10786/* Flush out information for old buffer. */
10787*(yy_c_buf_p) = (yy_hold_char);
10788YY_CURRENT_BUFFER_LVALUE->yy_buf_pos = (yy_c_buf_p);
10789YY_CURRENT_BUFFER_LVALUE->yy_n_chars = (yy_n_chars);
10790}
10791
10792/* Only push if top exists. Otherwise, replace top. */
10793if (YY_CURRENT_BUFFER)
10794(yy_buffer_stack_top)++;
10795YY_CURRENT_BUFFER_LVALUE = new_buffer;
10796
10797/* copied from vhdlcodeYY_switch_to_buffer. */
10798vhdlcodeYY_load_buffer_state( );
10799(yy_did_buffer_switch_on_eof) = 1;
10800}
10801
10802/** Removes and deletes the top of the stack, if present.
10803 * The next element becomes the new top.
10804 *
10805 */
10806void vhdlcodeYYpop_buffer_state (void)
10807{
10808 if (!YY_CURRENT_BUFFER)
10809return;
10810
10811vhdlcodeYY_delete_buffer(YY_CURRENT_BUFFER );
10812YY_CURRENT_BUFFER_LVALUE = NULL;
10813if ((yy_buffer_stack_top) > 0)
10814--(yy_buffer_stack_top);
10815
10816if (YY_CURRENT_BUFFER) {
10817vhdlcodeYY_load_buffer_state( );
10818(yy_did_buffer_switch_on_eof) = 1;
10819}
10820}
10821
10822/* Allocates the stack if it does not exist.
10823 * Guarantees space for at least one push.
10824 */
10825static void vhdlcodeYYensure_buffer_stack (void)
10826{
10827yy_size_t num_to_alloc;
10828
10829if (!(yy_buffer_stack)) {
10830
10831/* First allocation is just for 2 elements, since we don't know if this
10832 * scanner will even need a stack. We use 2 instead of 1 to avoid an
10833 * immediate realloc on the next call.
10834 */
10835num_to_alloc = 1;
10836(yy_buffer_stack) = (struct yy_buffer_state**)vhdlcodeYYalloc
10837(num_to_alloc * sizeof(struct yy_buffer_state*)
10838);
10839if ( ! (yy_buffer_stack) )
10840YY_FATAL_ERROR( "out of dynamic memory in vhdlcodeYYensure_buffer_stack()" );
10841
10842memset((yy_buffer_stack), 0, num_to_alloc * sizeof(struct yy_buffer_state*));
10843
10844(yy_buffer_stack_max) = num_to_alloc;
10845(yy_buffer_stack_top) = 0;
10846return;
10847}
10848
10849if ((yy_buffer_stack_top) >= ((yy_buffer_stack_max)) - 1){
10850
10851/* Increase the buffer to prepare for a possible push. */
10852int grow_size = 8 /* arbitrary grow size */;
10853
10854num_to_alloc = (yy_buffer_stack_max) + grow_size;
10855(yy_buffer_stack) = (struct yy_buffer_state**)vhdlcodeYYrealloc
10856((yy_buffer_stack),
10857num_to_alloc * sizeof(struct yy_buffer_state*)
10858);
10859if ( ! (yy_buffer_stack) )
10860YY_FATAL_ERROR( "out of dynamic memory in vhdlcodeYYensure_buffer_stack()" );
10861
10862/* zero only the new slots.*/
10863memset((yy_buffer_stack) + (yy_buffer_stack_max), 0, grow_size * sizeof(struct yy_buffer_state*));
10864(yy_buffer_stack_max) = num_to_alloc;
10865}
10866}
10867
10868/** Setup the input buffer state to scan directly from a user-specified character buffer.
10869 * @param base the character buffer
10870 * @param size the size in bytes of the character buffer
10871 *
10872 * @return the newly allocated buffer state object.
10873 */
10874YY_BUFFER_STATE vhdlcodeYY_scan_buffer (char * base, yy_size_t size )
10875{
10876YY_BUFFER_STATE b;
10877
10878if ( size < 2 ||
10879 base[size-2] != YY_END_OF_BUFFER_CHAR ||
10880 base[size-1] != YY_END_OF_BUFFER_CHAR )
10881/* They forgot to leave room for the EOB's. */
10882return 0;
10883
10884b = (YY_BUFFER_STATE) vhdlcodeYYalloc(sizeof( struct yy_buffer_state ) );
10885if ( ! b )
10886YY_FATAL_ERROR( "out of dynamic memory in vhdlcodeYY_scan_buffer()" );
10887
10888b->yy_buf_size = size - 2;/* "- 2" to take care of EOB's */
10889b->yy_buf_pos = b->yy_ch_buf = base;
10890b->yy_is_our_buffer = 0;
10891b->yy_input_file = 0;
10892b->yy_n_chars = b->yy_buf_size;
10893b->yy_is_interactive = 0;
10894b->yy_at_bol = 1;
10895b->yy_fill_buffer = 0;
10896b->yy_buffer_status = YY_BUFFER_NEW;
10897
10898vhdlcodeYY_switch_to_buffer(b );
10899
10900return b;
10901}
10902
10903/** Setup the input buffer state to scan a string. The next call to vhdlcodeYYlex() will
10904 * scan from a @e copy of @a str.
10905 * @param yystr a NUL-terminated string to scan
10906 *
10907 * @return the newly allocated buffer state object.
10908 * @note If you want to scan bytes that may contain NUL values, then use
10909 * vhdlcodeYY_scan_bytes() instead.
10910 */
10911YY_BUFFER_STATE vhdlcodeYY_scan_string (yyconst char * yystr )
10912{
10913
10914return vhdlcodeYY_scan_bytes(yystr,strlen(yystr) );
10915}
10916
10917/** Setup the input buffer state to scan the given bytes. The next call to vhdlcodeYYlex() will
10918 * scan from a @e copy of @a bytes.
10919 * @param bytes the byte buffer to scan
10920 * @param len the number of bytes in the buffer pointed to by @a bytes.
10921 *
10922 * @return the newly allocated buffer state object.
10923 */
10924YY_BUFFER_STATE vhdlcodeYY_scan_bytes (yyconst char * yybytes, yy_size_t _yybytes_len )
10925{
10926YY_BUFFER_STATE b;
10927char *buf;
10928yy_size_t n, i;
10929
10930/* Get memory for full buffer, including space for trailing EOB's. */
10931n = _yybytes_len + 2;
10932buf = (char *) vhdlcodeYYalloc(n );
10933if ( ! buf )
10934YY_FATAL_ERROR( "out of dynamic memory in vhdlcodeYY_scan_bytes()" );
10935
10936for ( i = 0; i < _yybytes_len; ++i )
10937buf[i] = yybytes[i];
10938
10939buf[_yybytes_len] = buf[_yybytes_len+1] = YY_END_OF_BUFFER_CHAR;
10940
10941b = vhdlcodeYY_scan_buffer(buf,n );
10942if ( ! b )
10943YY_FATAL_ERROR( "bad buffer in vhdlcodeYY_scan_bytes()" );
10944
10945/* It's okay to grow etc. this buffer, and we should throw it
10946 * away when we're done.
10947 */
10948b->yy_is_our_buffer = 1;
10949
10950return b;
10951}
10952
10953#ifndef YY_EXIT_FAILURE
10954#define YY_EXIT_FAILURE 2
10955#endif
10956
10957static void yy_fatal_error (yyconst char* msg )
10958{
10959 (void) fprintf( stderr, "%s\n", msg );
10960exit( YY_EXIT_FAILURE );
10961}
10962
10963/* Redefine yyless() so it works in section 3 code. */
10964
10965#undef yyless
10966#define yyless(n) \
10967do \
10968{ \
10969/* Undo effects of setting up vhdlcodeYYtext. */ \
10970 int yyless_macro_arg = (n); \
10971 YY_LESS_LINENO(yyless_macro_arg);\
10972vhdlcodeYYtext[vhdlcodeYYleng] = (yy_hold_char); \
10973(yy_c_buf_p) = vhdlcodeYYtext + yyless_macro_arg; \
10974(yy_hold_char) = *(yy_c_buf_p); \
10975*(yy_c_buf_p) = '\0'; \
10976vhdlcodeYYleng = yyless_macro_arg; \
10977} \
10978while ( 0 )
10979
10980/* Accessor methods (get/set functions) to struct members. */
10981
10982/** Get the current line number.
10983 *
10984 */
10985int vhdlcodeYYget_lineno (void)
10986{
10987
10988 return vhdlcodeYYlineno;
10989}
10990
10991/** Get the input stream.
10992 *
10993 */
10994FILE *vhdlcodeYYget_in (void)
10995{
10996 return vhdlcodeYYin;
10997}
10998
10999/** Get the output stream.
11000 *
11001 */
11002FILE *vhdlcodeYYget_out (void)
11003{
11004 return vhdlcodeYYout;
11005}
11006
11007/** Get the length of the current token.
11008 *
11009 */
11010yy_size_t vhdlcodeYYget_leng (void)
11011{
11012 return vhdlcodeYYleng;
11013}
11014
11015/** Get the current token.
11016 *
11017 */
11018
11019char *vhdlcodeYYget_text (void)
11020{
11021 return vhdlcodeYYtext;
11022}
11023
11024/** Set the current line number.
11025 * @param line_number
11026 *
11027 */
11028void vhdlcodeYYset_lineno (int line_number )
11029{
11030
11031 vhdlcodeYYlineno = line_number;
11032}
11033
11034/** Set the input stream. This does not discard the current
11035 * input buffer.
11036 * @param in_str A readable stream.
11037 *
11038 * @see vhdlcodeYY_switch_to_buffer
11039 */
11040void vhdlcodeYYset_in (FILE * in_str )
11041{
11042 vhdlcodeYYin = in_str ;
11043}
11044
11045void vhdlcodeYYset_out (FILE * out_str )
11046{
11047 vhdlcodeYYout = out_str ;
11048}
11049
11050int vhdlcodeYYget_debug (void)
11051{
11052 return vhdlcodeYY_flex_debug;
11053}
11054
11055void vhdlcodeYYset_debug (int bdebug )
11056{
11057 vhdlcodeYY_flex_debug = bdebug ;
11058}
11059
11060static int yy_init_globals (void)
11061{
11062 /* Initialization is the same as for the non-reentrant scanner.
11063 * This function is called from vhdlcodeYYlex_destroy(), so don't allocate here.
11064 */
11065
11066 (yy_buffer_stack) = 0;
11067 (yy_buffer_stack_top) = 0;
11068 (yy_buffer_stack_max) = 0;
11069 (yy_c_buf_p) = (char *) 0;
11070 (yy_init) = 0;
11071 (yy_start) = 0;
11072
11073/* Defined in main.c */
11074#ifdef YY_STDINIT
11075 vhdlcodeYYin = stdin;
11076 vhdlcodeYYout = stdout;
11077#else
11078 vhdlcodeYYin = (FILE *) 0;
11079 vhdlcodeYYout = (FILE *) 0;
11080#endif
11081
11082 /* For future reference: Set errno on error, since we are called by
11083 * vhdlcodeYYlex_init()
11084 */
11085 return 0;
11086}
11087
11088/* vhdlcodeYYlex_destroy is for both reentrant and non-reentrant scanners. */
11089int vhdlcodeYYlex_destroy (void)
11090{
11091
11092 /* Pop the buffer stack, destroying each element. */
11093while(YY_CURRENT_BUFFER){
11094vhdlcodeYY_delete_buffer(YY_CURRENT_BUFFER );
11095YY_CURRENT_BUFFER_LVALUE = NULL;
11096vhdlcodeYYpop_buffer_state();
11097}
11098
11099/* Destroy the stack itself. */
11100vhdlcodeYYfree((yy_buffer_stack) );
11101(yy_buffer_stack) = NULL;
11102
11103 /* Reset the globals. This is important in a non-reentrant scanner so the next time
11104 * vhdlcodeYYlex() is called, initialization will occur. */
11105 yy_init_globals( );
11106
11107 return 0;
11108}
11109
11110/*
11111 * Internal utility routines.
11112 */
11113
11114#ifndef yytext_ptr
11115static void yy_flex_strncpy (char* s1, yyconst char * s2, int n )
11116{
11117register int i;
11118for ( i = 0; i < n; ++i )
11119s1[i] = s2[i];
11120}
11121#endif
11122
11123#ifdef YY_NEED_STRLEN
11124static int yy_flex_strlen (yyconst char * s )
11125{
11126register int n;
11127for ( n = 0; s[n]; ++n )
11128;
11129
11130return n;
11131}
11132#endif
11133
11134void *vhdlcodeYYalloc (yy_size_t size )
11135{
11136return (void *) malloc( size );
11137}
11138
11139void *vhdlcodeYYrealloc (void * ptr, yy_size_t size )
11140{
11141/* The cast to (char *) in the following accommodates both
11142 * implementations that use char* generic pointers, and those
11143 * that use void* generic pointers. It works with the latter
11144 * because both ANSI C and C++ allow castless assignment from
11145 * any pointer type to void*, and deal with argument conversions
11146 * as though doing an assignment.
11147 */
11148return (void *) realloc( (char *) ptr, size );
11149}
11150
11151void vhdlcodeYYfree (void * ptr )
11152{
11153free( (char *) ptr );/* see vhdlcodeYYrealloc() for (char *) cast */
11154}
11155
11156#define YYTABLES_NAME "yytables"
11157
11158#line 1468 "vhdlcode.l"
11159
11160
11161
11162/*@ ----------------------------------------------------------------------------
11163 */
11164
11165void resetVhdlCodeParserState()
11166{
11167 g_vhdlKeyDict.setAutoDelete(TRUE);
11168 g_vhdlKeyDict.clear();
11169}
11170
11171void parseVhdlCode(CodeOutputInterface &od,const char *className,const QCString &s,
11172 bool exBlock, const char *exName,FileDef *fd,
11173 int startLine,int endLine,bool inlineFragment,
11174 MemberDef *memberDef,bool)
11175{
11176 //printf("***parseCode() exBlock=%d exName=%s fd=%p\n",exBlock,exName,fd);
11177 if (s.isEmpty()) return;
11178 if (memberDef)
11179 {
11180 ClassDef *dd=memberDef->getClassDef();
11181 if (dd) g_CurrClass=dd->className();
11182 startLine--;
11183 }
11184 resetVhdlCodeParserState();
11185 g_code = &od;
11186 g_inputString = s;
11187 g_inputPosition = 0;
11188 g_currentFontClass = 0;
11189 g_needsTermination = FALSE;
11190
11191 if (endLine!=-1)
11192 g_inputLines = endLine+1;
11193 else
11194 g_inputLines = countLines();
11195
11196 if (startLine!=-1)
11197 g_yyLineNr = startLine;
11198 else
11199 g_yyLineNr = 1;
11200
11201
11202 // g_theCallContext.clear();
11203 g_classScope = className;
11204 g_exampleName = exName;
11205 g_sourceFileDef = fd;
11206 if (exBlock && fd==0)
11207 {
11208 // create a dummy filedef for the example
11209 g_sourceFileDef = new FileDef("",exName);
11210 }
11211 if (g_sourceFileDef)
11212 {
11213 setCurrentDoc(g_sourceFileDef->name(),g_sourceFileDef->getSourceFileBase());
11214 }
11215 g_currentDefinition = 0;
11216 g_currentMemberDef = 0;
11217 g_vhdlMember=0;
11218 if (!g_exampleName.isEmpty())
11219 {
11220 g_exampleFile = convertNameToFile(g_exampleName+"-example");
11221 }
11222 g_includeCodeFragment = inlineFragment;
11223 if (!memberDef)
11224 {
11225 startCodeLine();
11226 }
11227 // g_type.resize(0);
11228 // g_name.resize(0);
11229 // g_args.resize(0);
11230 g_parmName.resize(0);
11231 g_parmType.resize(0);
11232 if (memberDef)
11233 {
11234 setParameterList(memberDef);
11235 }
11236 vhdlcodeYYrestart( vhdlcodeYYin );
11237 BEGIN( Bases );
11238 vhdlcodeYYlex();
11239 g_lexInit=TRUE;
11240 if (g_needsTermination)
11241 {
11242 endCodeLine();
11243 }
11244 if (exBlock && g_sourceFileDef)
11245 {
11246 // delete the temporary file definition used for this example
11247 delete g_sourceFileDef;
11248 g_sourceFileDef=0;
11249 }
11250 return;
11251}
11252
11253void codeFreeVhdlScanner()
11254{
11255#if defined(YY_FLEX_SUBMINOR_VERSION)
11256 if (g_lexInit)
11257 {
11258 vhdlcodeYYlex_destroy();
11259 }
11260#endif
11261}
11262
11263#if !defined(YY_FLEX_SUBMINOR_VERSION)
11264extern "C" { // some bogus code to keep the compiler happy
11265 void vhdlcodeYYdummy() { yy_flex_realloc(0,0); }
11266}
11267#elif YY_FLEX_SUBMINOR_VERSION<33
11268#error "You seem to be using a version of flex newer than 2.5.4 but older than 2.5.33. These versions do NOT work with doxygen! Please use version <=2.5.4 or >=2.5.33 or expect things to be parsed wrongly!"
11269#endif
11270
11271
11272
11273
11274
11275

Archive Download this file

Revision: 1322