Chameleon

Chameleon Svn Source Tree

Root/branches/xZenu/src/util/doxygen/src/vhdlscanner.cpp

Source at commit 1322 created 12 years 8 months ago.
By meklort, Add doxygen to utils folder
1
2#line 3 "<stdout>"
3
4#define YY_INT_ALIGNED short int
5
6/* A lexical scanner generated by flex */
7
8#define yy_create_buffer vhdlscanYY_create_buffer
9#define yy_delete_buffer vhdlscanYY_delete_buffer
10#define yy_flex_debug vhdlscanYY_flex_debug
11#define yy_init_buffer vhdlscanYY_init_buffer
12#define yy_flush_buffer vhdlscanYY_flush_buffer
13#define yy_load_buffer_state vhdlscanYY_load_buffer_state
14#define yy_switch_to_buffer vhdlscanYY_switch_to_buffer
15#define yyin vhdlscanYYin
16#define yyleng vhdlscanYYleng
17#define yylex vhdlscanYYlex
18#define yylineno vhdlscanYYlineno
19#define yyout vhdlscanYYout
20#define yyrestart vhdlscanYYrestart
21#define yytext vhdlscanYYtext
22#define yywrap vhdlscanYYwrap
23#define yyalloc vhdlscanYYalloc
24#define yyrealloc vhdlscanYYrealloc
25#define yyfree vhdlscanYYfree
26
27#define FLEX_SCANNER
28#define YY_FLEX_MAJOR_VERSION 2
29#define YY_FLEX_MINOR_VERSION 5
30#define YY_FLEX_SUBMINOR_VERSION 35
31#if YY_FLEX_SUBMINOR_VERSION > 0
32#define FLEX_BETA
33#endif
34
35/* First, we deal with platform-specific or compiler-specific issues. */
36
37/* begin standard C headers. */
38#include <stdio.h>
39#include <string.h>
40#include <errno.h>
41#include <stdlib.h>
42
43/* end standard C headers. */
44
45/* flex integer type definitions */
46
47#ifndef FLEXINT_H
48#define FLEXINT_H
49
50/* C99 systems have <inttypes.h>. Non-C99 systems may or may not. */
51
52#if defined (__STDC_VERSION__) && __STDC_VERSION__ >= 199901L
53
54/* C99 says to define __STDC_LIMIT_MACROS before including stdint.h,
55 * if you want the limit (max/min) macros for int types.
56 */
57#ifndef __STDC_LIMIT_MACROS
58#define __STDC_LIMIT_MACROS 1
59#endif
60
61#include <inttypes.h>
62typedef int8_t flex_int8_t;
63typedef uint8_t flex_uint8_t;
64typedef int16_t flex_int16_t;
65typedef uint16_t flex_uint16_t;
66typedef int32_t flex_int32_t;
67typedef uint32_t flex_uint32_t;
68#else
69typedef signed char flex_int8_t;
70typedef short int flex_int16_t;
71typedef int flex_int32_t;
72typedef unsigned char flex_uint8_t;
73typedef unsigned short int flex_uint16_t;
74typedef unsigned int flex_uint32_t;
75#endif /* ! C99 */
76
77/* Limits of integral types. */
78#ifndef INT8_MIN
79#define INT8_MIN (-128)
80#endif
81#ifndef INT16_MIN
82#define INT16_MIN (-32767-1)
83#endif
84#ifndef INT32_MIN
85#define INT32_MIN (-2147483647-1)
86#endif
87#ifndef INT8_MAX
88#define INT8_MAX (127)
89#endif
90#ifndef INT16_MAX
91#define INT16_MAX (32767)
92#endif
93#ifndef INT32_MAX
94#define INT32_MAX (2147483647)
95#endif
96#ifndef UINT8_MAX
97#define UINT8_MAX (255U)
98#endif
99#ifndef UINT16_MAX
100#define UINT16_MAX (65535U)
101#endif
102#ifndef UINT32_MAX
103#define UINT32_MAX (4294967295U)
104#endif
105
106#endif /* ! FLEXINT_H */
107
108#ifdef __cplusplus
109
110/* The "const" storage-class-modifier is valid. */
111#define YY_USE_CONST
112
113#else/* ! __cplusplus */
114
115/* C99 requires __STDC__ to be defined as 1. */
116#if defined (__STDC__)
117
118#define YY_USE_CONST
119
120#endif/* defined (__STDC__) */
121#endif/* ! __cplusplus */
122
123#ifdef YY_USE_CONST
124#define yyconst const
125#else
126#define yyconst
127#endif
128
129/* Returned upon end-of-file. */
130#define YY_NULL 0
131
132/* Promotes a possibly negative, possibly signed char to an unsigned
133 * integer for use as an array index. If the signed char is negative,
134 * we want to instead treat it as an 8-bit unsigned char, hence the
135 * double cast.
136 */
137#define YY_SC_TO_UI(c) ((unsigned int) (unsigned char) c)
138
139/* Enter a start condition. This macro really ought to take a parameter,
140 * but we do it the disgusting crufty way forced on us by the ()-less
141 * definition of BEGIN.
142 */
143#define BEGIN (yy_start) = 1 + 2 *
144
145/* Translate the current start state into a value that can be later handed
146 * to BEGIN to return to the state. The YYSTATE alias is for lex
147 * compatibility.
148 */
149#define YY_START (((yy_start) - 1) / 2)
150#define YYSTATE YY_START
151
152/* Action number for EOF rule of a given start state. */
153#define YY_STATE_EOF(state) (YY_END_OF_BUFFER + state + 1)
154
155/* Special action meaning "start processing a new file". */
156#define YY_NEW_FILE vhdlscanYYrestart(vhdlscanYYin )
157
158#define YY_END_OF_BUFFER_CHAR 0
159
160/* Size of default input buffer. */
161#ifndef YY_BUF_SIZE
162#define YY_BUF_SIZE 262144
163#endif
164
165/* The state buf must be large enough to hold one state per character in the main buffer.
166 */
167#define YY_STATE_BUF_SIZE ((YY_BUF_SIZE + 2) * sizeof(yy_state_type))
168
169#ifndef YY_TYPEDEF_YY_BUFFER_STATE
170#define YY_TYPEDEF_YY_BUFFER_STATE
171typedef struct yy_buffer_state *YY_BUFFER_STATE;
172#endif
173
174#ifndef YY_TYPEDEF_YY_SIZE_T
175#define YY_TYPEDEF_YY_SIZE_T
176typedef size_t yy_size_t;
177#endif
178
179extern yy_size_t vhdlscanYYleng;
180
181extern FILE *vhdlscanYYin, *vhdlscanYYout;
182
183#define EOB_ACT_CONTINUE_SCAN 0
184#define EOB_ACT_END_OF_FILE 1
185#define EOB_ACT_LAST_MATCH 2
186
187 #define YY_LESS_LINENO(n)
188
189/* Return all but the first "n" matched characters back to the input stream. */
190#define yyless(n) \
191do \
192{ \
193/* Undo effects of setting up vhdlscanYYtext. */ \
194 int yyless_macro_arg = (n); \
195 YY_LESS_LINENO(yyless_macro_arg);\
196*yy_cp = (yy_hold_char); \
197YY_RESTORE_YY_MORE_OFFSET \
198(yy_c_buf_p) = yy_cp = yy_bp + yyless_macro_arg - YY_MORE_ADJ; \
199YY_DO_BEFORE_ACTION; /* set up vhdlscanYYtext again */ \
200} \
201while ( 0 )
202
203#define unput(c) yyunput( c, (yytext_ptr) )
204
205#ifndef YY_STRUCT_YY_BUFFER_STATE
206#define YY_STRUCT_YY_BUFFER_STATE
207struct yy_buffer_state
208{
209FILE *yy_input_file;
210
211char *yy_ch_buf;/* input buffer */
212char *yy_buf_pos;/* current position in input buffer */
213
214/* Size of input buffer in bytes, not including room for EOB
215 * characters.
216 */
217yy_size_t yy_buf_size;
218
219/* Number of characters read into yy_ch_buf, not including EOB
220 * characters.
221 */
222yy_size_t yy_n_chars;
223
224/* Whether we "own" the buffer - i.e., we know we created it,
225 * and can realloc() it to grow it, and should free() it to
226 * delete it.
227 */
228int yy_is_our_buffer;
229
230/* Whether this is an "interactive" input source; if so, and
231 * if we're using stdio for input, then we want to use getc()
232 * instead of fread(), to make sure we stop fetching input after
233 * each newline.
234 */
235int yy_is_interactive;
236
237/* Whether we're considered to be at the beginning of a line.
238 * If so, '^' rules will be active on the next match, otherwise
239 * not.
240 */
241int yy_at_bol;
242
243 int yy_bs_lineno; /**< The line count. */
244 int yy_bs_column; /**< The column count. */
245
246/* Whether to try to fill the input buffer when we reach the
247 * end of it.
248 */
249int yy_fill_buffer;
250
251int yy_buffer_status;
252
253#define YY_BUFFER_NEW 0
254#define YY_BUFFER_NORMAL 1
255/* When an EOF's been seen but there's still some text to process
256 * then we mark the buffer as YY_EOF_PENDING, to indicate that we
257 * shouldn't try reading from the input source any more. We might
258 * still have a bunch of tokens to match, though, because of
259 * possible backing-up.
260 *
261 * When we actually see the EOF, we change the status to "new"
262 * (via vhdlscanYYrestart()), so that the user can continue scanning by
263 * just pointing vhdlscanYYin at a new input file.
264 */
265#define YY_BUFFER_EOF_PENDING 2
266
267};
268#endif /* !YY_STRUCT_YY_BUFFER_STATE */
269
270/* Stack of input buffers. */
271static size_t yy_buffer_stack_top = 0; /**< index of top of stack. */
272static size_t yy_buffer_stack_max = 0; /**< capacity of stack. */
273static YY_BUFFER_STATE * yy_buffer_stack = 0; /**< Stack as an array. */
274
275/* We provide macros for accessing buffer states in case in the
276 * future we want to put the buffer states in a more general
277 * "scanner state".
278 *
279 * Returns the top of the stack, or NULL.
280 */
281#define YY_CURRENT_BUFFER ( (yy_buffer_stack) \
282 ? (yy_buffer_stack)[(yy_buffer_stack_top)] \
283 : NULL)
284
285/* Same as previous macro, but useful when we know that the buffer stack is not
286 * NULL or when we need an lvalue. For internal use only.
287 */
288#define YY_CURRENT_BUFFER_LVALUE (yy_buffer_stack)[(yy_buffer_stack_top)]
289
290/* yy_hold_char holds the character lost when vhdlscanYYtext is formed. */
291static char yy_hold_char;
292static yy_size_t yy_n_chars;/* number of characters read into yy_ch_buf */
293yy_size_t vhdlscanYYleng;
294
295/* Points to current character in buffer. */
296static char *yy_c_buf_p = (char *) 0;
297static int yy_init = 0;/* whether we need to initialize */
298static int yy_start = 0;/* start state number */
299
300/* Flag which is used to allow vhdlscanYYwrap()'s to do buffer switches
301 * instead of setting up a fresh vhdlscanYYin. A bit of a hack ...
302 */
303static int yy_did_buffer_switch_on_eof;
304
305void vhdlscanYYrestart (FILE *input_file );
306void vhdlscanYY_switch_to_buffer (YY_BUFFER_STATE new_buffer );
307YY_BUFFER_STATE vhdlscanYY_create_buffer (FILE *file,int size );
308void vhdlscanYY_delete_buffer (YY_BUFFER_STATE b );
309void vhdlscanYY_flush_buffer (YY_BUFFER_STATE b );
310void vhdlscanYYpush_buffer_state (YY_BUFFER_STATE new_buffer );
311void vhdlscanYYpop_buffer_state (void );
312
313static void vhdlscanYYensure_buffer_stack (void );
314static void vhdlscanYY_load_buffer_state (void );
315static void vhdlscanYY_init_buffer (YY_BUFFER_STATE b,FILE *file );
316
317#define YY_FLUSH_BUFFER vhdlscanYY_flush_buffer(YY_CURRENT_BUFFER )
318
319YY_BUFFER_STATE vhdlscanYY_scan_buffer (char *base,yy_size_t size );
320YY_BUFFER_STATE vhdlscanYY_scan_string (yyconst char *yy_str );
321YY_BUFFER_STATE vhdlscanYY_scan_bytes (yyconst char *bytes,yy_size_t len );
322
323void *vhdlscanYYalloc (yy_size_t );
324void *vhdlscanYYrealloc (void *,yy_size_t );
325void vhdlscanYYfree (void * );
326
327#define yy_new_buffer vhdlscanYY_create_buffer
328
329#define yy_set_interactive(is_interactive) \
330{ \
331if ( ! YY_CURRENT_BUFFER ){ \
332 vhdlscanYYensure_buffer_stack (); \
333YY_CURRENT_BUFFER_LVALUE = \
334 vhdlscanYY_create_buffer(vhdlscanYYin,YY_BUF_SIZE ); \
335} \
336YY_CURRENT_BUFFER_LVALUE->yy_is_interactive = is_interactive; \
337}
338
339#define yy_set_bol(at_bol) \
340{ \
341if ( ! YY_CURRENT_BUFFER ){\
342 vhdlscanYYensure_buffer_stack (); \
343YY_CURRENT_BUFFER_LVALUE = \
344 vhdlscanYY_create_buffer(vhdlscanYYin,YY_BUF_SIZE ); \
345} \
346YY_CURRENT_BUFFER_LVALUE->yy_at_bol = at_bol; \
347}
348
349#define YY_AT_BOL() (YY_CURRENT_BUFFER_LVALUE->yy_at_bol)
350
351/* Begin user sect3 */
352
353#define vhdlscanYYwrap(n) 1
354#define YY_SKIP_YYWRAP
355
356typedef unsigned char YY_CHAR;
357
358FILE *vhdlscanYYin = (FILE *) 0, *vhdlscanYYout = (FILE *) 0;
359
360typedef int yy_state_type;
361
362extern int vhdlscanYYlineno;
363
364int vhdlscanYYlineno = 1;
365
366extern char *vhdlscanYYtext;
367#define yytext_ptr vhdlscanYYtext
368
369static yy_state_type yy_get_previous_state (void );
370static yy_state_type yy_try_NUL_trans (yy_state_type current_state );
371static int yy_get_next_buffer (void );
372static void yy_fatal_error (yyconst char msg[] );
373
374/* Done after the current pattern has been matched and before the
375 * corresponding action - sets up vhdlscanYYtext.
376 */
377#define YY_DO_BEFORE_ACTION \
378(yytext_ptr) = yy_bp; \
379vhdlscanYYleng = (size_t) (yy_cp - yy_bp); \
380(yy_hold_char) = *yy_cp; \
381*yy_cp = '\0'; \
382(yy_c_buf_p) = yy_cp;
383
384#define YY_NUM_RULES 51
385#define YY_END_OF_BUFFER 52
386/* This struct is not used in this scanner,
387 but its presence is necessary. */
388struct yy_trans_info
389{
390flex_int32_t yy_verify;
391flex_int32_t yy_nxt;
392};
393static yyconst flex_int16_t yy_acclist[1319] =
394 { 0,
395 49, 49, 10, 49, 10, 49, 49, 49, 49, 49,
396 32, 49, 32, 49, 21, 49, 21, 49, 13, 49,
397 13, 49, 49, 49, 49, 49, 49, 49, 49, 49,
398 49, 49, 49, 49, 49, 49, 49, 49, 49, 49,
399 52, 50, 51, 49, 50, 51, 47, 51, 50, 51,
400 50, 51, 50, 51, 40, 48, 50, 51, 48, 50,
401 51, 50, 51, 49, 50, 51, 48, 50, 51, 49,
402 50, 51, 10, 47, 51, 10, 50, 51, 49, 50,
403 51, 50, 51, 50, 51, 40, 48, 50, 51, 50,
404 51, 48, 50, 51, 48, 50, 51, 48, 50, 51,
405
406 48, 50, 51, 48, 50, 51, 48, 50, 51, 50,
407 51, 48, 50, 51, 49, 50, 51, 10, 47, 51,
408 10, 50, 51, 49, 50, 51, 48, 50, 51, 48,
409 50, 51, 48, 50, 51, 48, 50, 51, 48, 50,
410 51, 48, 50, 51, 48, 50, 51, 48, 50, 51,
411 48, 50, 51, 48, 50, 51, 48, 50, 51, 44,
412 50, 51, 44, 49, 50, 51, 44, 47, 51, 44,
413 50, 51, 44, 50, 51, 44, 50, 51, 40, 44,
414 48, 50, 51, 44, 48, 50, 51, 44, 50, 51,
415 44, 49, 50, 51, 44, 50, 51, 44, 48, 50,
416
417 51, 17, 40, 48, 50, 51, 17, 48, 50, 51,
418 50, 51, 17, 48, 50, 51, 25, 50, 51, 32,
419 49, 50, 51, 25, 32, 47, 51, 25, 32, 50,
420 51, 25, 50, 51, 26, 50, 51, 27, 50, 51,
421 25, 50, 51, 25, 40, 48, 50, 51, 31, 50,
422 51, 25, 48, 50, 51, 25, 48, 50, 51, 25,
423 48, 50, 51, 25, 50, 51, 32, 49, 50, 51,
424 25, 48, 50, 51, 21, 49, 50, 51, 21, 47,
425 51, 21, 50, 51, 40, 48, 50, 51, 48, 50,
426 51, 48, 50, 51, 21, 49, 50, 51, 48, 50,
427
428 51, 13, 49, 50, 51, 13, 47, 51, 13, 50,
429 51, 14, 48, 50, 51, 13, 49, 50, 51, 14,
430 48, 50, 51, 11, 50, 51, 11, 49, 50, 51,
431 11, 47, 51, 11, 50, 51, 11, 50, 51, 11,
432 50, 51, 11, 40, 48, 50, 51, 11, 48, 50,
433 51, 11, 48, 50, 51, 11, 50, 51, 11, 49,
434 50, 51, 11, 48, 50, 51, 29, 50, 51, 29,
435 49, 50, 51, 29, 47, 51, 29, 50, 51, 29,
436 50, 51, 29, 50, 51, 29, 40, 48, 50, 51,
437 29, 48, 50, 51, 29, 48, 50, 51, 29, 50,
438
439 51, 29, 49, 50, 51, 29, 48, 50, 51, 15,
440 40, 48, 50, 51, 15, 48, 50, 51, 50, 51,
441 15, 48, 50, 51, 33, 40, 48, 50, 51, 33,
442 48, 50, 51, 50, 51, 33, 48, 50, 51, 38,
443 47, 51, 38, 50, 51, 37, 50, 51, 35, 50,
444 51, 48, 50, 51, 48, 50, 51, 16, 50, 51,
445 16, 48, 50, 51, 50, 51, 16, 48, 50, 51,
446 49, 41, 46, 48, 40, 48, 48, 48, 49, 48,
447 49, 49, 10, 41, 48, 40, 48, 48, 48, 48,
448 48, 48, 48, 48, 48, 48, 49, 49, 10, 48,
449
450 48, 48, 48, 48, 48, 48, 48, 48, 48, 48,
451 48, 48, 48, 48, 48, 48, 48, 48, 49, 46,
452 17, 17, 48, 17, 40, 48, 17, 48, 17, 48,
453 17, 48, 32, 49, 32, 48, 48, 32, 49, 21,
454 49, 21, 48, 40, 48, 23, 48, 48, 21, 49,
455 48, 13, 49, 13, 14, 14, 14, 48, 13, 49,
456 14, 14, 48, 48, 49, 48, 49, 15, 48, 15,
457 40, 48, 15, 48, 15, 48, 15, 48, 33, 48,
458 33, 40, 48, 33, 48, 33, 48, 33, 48, 48,
459 36, 48, 16, 41, 16, 16, 16, 16, 48, 16,
460
461 48, 16, 48, 46, 45, 46, 40, 48, 48, 40,
462 48, 48, 48, 48, 48, 48, 48, 48, 48, 48,
463 48, 48, 48, 48, 48, 48, 48, 48, 48, 48,
464 48, 48, 48, 48, 48, 48, 48, 48, 43, 45,
465 46, 17, 40, 48, 17, 17, 48, 48, 48, 40,
466 48, 48, 48, 14, 14, 48, 48, 48, 15, 40,
467 48, 15, 48, 33, 40, 48, 33, 48, 48, 16,
468 48, 45, 46, 45, 46, 40, 39, 48, 48, 48,
469 48, 48, 48, 48, 48, 48, 48, 48, 48, 39,
470 48, 48, 48, 48, 48, 48, 48, 48, 48, 48,
471
472 48, 48, 6, 6, 6, 43, 43, 45, 46, 43,
473 45, 46, 17, 39, 24, 24, 28, 48, 48, 48,
474 48, 40, 23, 48, 48, 39, 14, 14, 39, 48,
475 30, 48, 48, 48, 36, 39, 45, 46,16426, 39,
476 48, 48, 48, 34, 48, 48, 48, 48, 48, 48,
477 48, 3, 3, 3, 39, 39, 39, 39, 39, 48,
478 48, 48, 48, 48, 48, 48, 48, 48, 48, 48,
479 48, 48, 6, 6, 6, 43, 43, 43, 45, 46,
480 17, 39, 24, 48, 48, 48, 48, 48, 23, 23,
481 23, 48, 48, 39, 14, 39, 48, 48, 48, 48,
482
483 48, 36, 36, 36, 39, 48, 48, 48, 34, 48,
484 48, 48, 48, 48, 48, 39, 39, 39, 39, 39,
485 39, 39, 39, 39, 39, 39, 39, 48, 48, 48,
486 48, 48, 48, 48, 48, 48, 43, 48, 48, 48,
487 28, 48, 23, 48, 48, 48, 48, 48, 48, 30,
488 36, 48, 48, 48, 48, 5, 48, 48, 48, 48,
489 8, 8, 8, 39, 39, 39, 39, 39, 39, 39,
490 39, 39, 39, 39, 39, 39, 48, 48, 48, 48,
491 48, 48, 48, 48, 48, 48, 48, 48, 48, 48,
492 48, 48, 8234, 5, 48, 48, 48, 5, 5, 5,
493
494 5, 48, 48, 48, 39, 39, 39, 39, 39, 39,
495 39, 39, 39, 39, 39, 7, 48, 48, 48, 48,
496 48, 48, 20, 48, 48, 48, 48, 48, 48, 48,
497 5, 9, 5, 48, 48, 48, 5, 5, 5, 5,
498 7, 7, 48, 48, 39, 39, 39, 39, 39, 39,
499 39, 39, 39, 39, 39, 39, 39, 39, 39, 7,
500 7, 7, 48, 48, 48, 48, 48, 48, 20, 48,
501 22, 23, 48, 48, 48, 48, 5, 5, 5, 5,
502 5, 9, 5, 5, 5, 5, 48, 48, 5, 7,
503 7, 7, 39, 39, 39, 39, 39, 39, 39, 39,
504
505 39, 39, 39, 39, 39, 39, 39, 48, 48, 48,
506 48, 22, 48, 48, 48, 48, 48, 5, 5, 5,
507 5, 5, 48, 48, 5, 5, 5, 39, 39, 39,
508 39, 39, 39, 39, 39, 39, 39, 39, 39, 39,
509 39, 39, 39, 39, 39, 48, 48, 48, 12, 28,
510 48, 48, 12, 48, 48, 48, 5, 5, 5, 9,
511 48, 48, 39, 39, 39, 39, 39, 39, 39, 39,
512 39, 39, 39, 39, 39, 39, 39, 39, 5, 39,
513 28, 48, 48, 48, 48, 48, 48, 48, 48, 48,
514 48, 30, 48, 48, 48, 48, 48, 39, 39, 39,
515
516 39, 39, 39, 39, 39, 39, 39, 39, 39, 39,
517 39, 39, 39, 39, 39, 39, 39, 39, 39, 9,
518 39, 39, 5, 39, 5, 39, 5, 39, 5, 39,
519 7, 48, 48, 12, 19, 1, 39, 39, 39, 39,
520 39, 39, 39, 39, 39, 39, 39, 39, 39, 39,
521 39, 39, 39, 9, 39, 39, 5, 39, 5, 39,
522 5, 39, 5, 39, 7, 7, 7, 48, 48, 9,
523 39, 39, 39, 39, 39, 39, 39, 39, 39, 39,
524 39, 39, 39, 39, 39, 39, 39, 39, 48, 48,
525 9, 9, 4, 4, 39, 39, 9, 39, 39, 39,
526
527 39, 39, 39, 39, 39, 39, 39, 39, 39, 39,
528 39, 39, 39, 39, 39, 39, 39, 3, 3, 3,
529 48, 48, 4, 4, 4, 1, 5, 39, 39, 39,
530 39, 39, 39, 39, 39, 39, 39, 39, 39, 39,
531 39, 39, 39, 48, 18, 5, 5, 39, 39, 39,
532 39, 39, 39, 39, 39, 39, 39, 39, 39, 39,
533 39, 39, 39, 5, 39, 39, 39, 39, 39, 39,
534 39, 39, 39, 39, 39, 39, 9, 39, 39, 1,
535 1, 39, 39, 39, 39, 39, 39, 39, 9, 39,
536 39, 39, 9, 39, 39, 1, 1, 1, 39, 39,
537
538 39, 39, 39, 39, 2, 2, 39, 5, 39, 2,
539 2, 2, 2, 5, 39, 5, 39, 2
540 } ;
541
542static yyconst flex_int16_t yy_accept[1862] =
543 { 0,
544 1, 2, 3, 5, 7, 8, 9, 10, 11, 13,
545 15, 17, 19, 21, 23, 24, 25, 26, 27, 28,
546 29, 30, 31, 32, 33, 34, 35, 36, 37, 38,
547 39, 40, 41, 42, 44, 47, 49, 51, 53, 55,
548 59, 62, 64, 67, 70, 73, 76, 79, 82, 84,
549 86, 90, 92, 95, 98, 101, 104, 107, 110, 112,
550 115, 118, 121, 124, 127, 130, 133, 136, 139, 142,
551 145, 148, 151, 154, 157, 160, 163, 167, 170, 173,
552 176, 179, 184, 188, 191, 195, 198, 202, 207, 211,
553 213, 217, 220, 224, 228, 232, 235, 238, 241, 244,
554
555 249, 252, 256, 260, 264, 267, 271, 275, 279, 282,
556 285, 289, 292, 295, 299, 302, 306, 309, 312, 316,
557 320, 324, 327, 331, 334, 337, 340, 343, 348, 352,
558 356, 359, 363, 367, 370, 374, 377, 380, 383, 386,
559 391, 395, 399, 402, 406, 410, 415, 419, 421, 425,
560 430, 434, 436, 440, 443, 446, 449, 452, 455, 458,
561 461, 465, 467, 471, 472, 472, 472, 472, 473, 473,
562 474, 474, 475, 477, 478, 478, 478, 479, 480, 480,
563 481, 482, 482, 483, 483, 483, 483, 483, 483, 483,
564 483, 484, 484, 485, 485, 485, 485, 485, 485, 485,
565
566 486, 488, 489, 489, 489, 489, 489, 489, 489, 489,
567 489, 489, 489, 489, 489, 490, 490, 491, 492, 493,
568 494, 495, 496, 496, 496, 497, 498, 498, 499, 499,
569 499, 499, 499, 499, 499, 499, 499, 499, 499, 499,
570 500, 500, 500, 501, 502, 503, 504, 505, 506, 507,
571 508, 509, 510, 511, 512, 513, 514, 515, 516, 517,
572 518, 519, 520, 520, 521, 522, 524, 527, 529, 529,
573 529, 531, 533, 535, 536, 536, 536, 536, 537, 538,
574 540, 542, 543, 543, 543, 544, 546, 547, 548, 549,
575 551, 552, 554, 555, 556, 557, 559, 561, 562, 564,
576
577 565, 566, 566, 566, 567, 568, 570, 573, 575, 575,
578 575, 577, 579, 581, 584, 586, 586, 586, 588, 590,
579 590, 591, 592, 593, 595, 596, 597, 598, 600, 600,
580 600, 602, 604, 604, 605, 607, 607, 609, 609, 610,
581 610, 610, 610, 610, 610, 610, 610, 610, 610, 610,
582 610, 610, 612, 612, 612, 612, 612, 612, 612, 612,
583 612, 612, 612, 612, 612, 613, 614, 615, 616, 617,
584 618, 619, 619, 619, 619, 619, 619, 619, 619, 619,
585 619, 619, 619, 619, 619, 619, 619, 619, 619, 619,
586 619, 619, 619, 619, 619, 620, 621, 622, 623, 624,
587
588 625, 626, 627, 628, 629, 630, 631, 632, 633, 634,
589 635, 636, 637, 638, 639, 639, 642, 645, 646, 648,
590 648, 648, 648, 649, 649, 650, 650, 652, 652, 652,
591 653, 654, 655, 657, 658, 658, 659, 662, 664, 667,
592 669, 669, 669, 670, 672, 672, 674, 676, 677, 677,
593 678, 678, 678, 678, 678, 678, 678, 678, 678, 678,
594 678, 678, 678, 678, 678, 678, 678, 678, 678, 678,
595 678, 679, 680, 680, 680, 680, 681, 682, 683, 684,
596 684, 684, 684, 684, 684, 684, 684, 684, 684, 684,
597 684, 684, 684, 684, 684, 684, 684, 684, 684, 684,
598
599 684, 684, 684, 684, 685, 686, 687, 688, 689, 690,
600 691, 692, 693, 694, 695, 696, 697, 698, 699, 700,
601 701, 702, 703, 704, 705, 706, 707, 710, 713, 715,
602 715, 716, 717, 717, 717, 717, 717, 718, 719, 720,
603 721, 721, 721, 721, 722, 723, 724, 724, 724, 725,
604 726, 727, 728, 730, 730, 731, 731, 731, 731, 732,
605 733, 734, 735, 735, 736, 737, 737, 739, 740, 741,
606 741, 741, 741, 741, 741, 741, 741, 741, 741, 741,
607 741, 741, 741, 741, 741, 741, 741, 741, 741, 741,
608 741, 741, 741, 741, 741, 742, 743, 743, 743, 744,
609
610 744, 744, 744, 745, 746, 747, 747, 747, 747, 747,
611 747, 747, 747, 747, 747, 747, 747, 747, 747, 747,
612 747, 747, 747, 747, 747, 747, 747, 747, 748, 749,
613 750, 751, 752, 753, 754, 755, 756, 757, 758, 759,
614 760, 761, 762, 763, 764, 765, 766, 766, 766, 766,
615 767, 768, 769, 770, 771, 772, 773, 774, 775, 776,
616 777, 778, 781, 783, 783, 783, 783, 784, 784, 784,
617 784, 784, 784, 784, 785, 786, 787, 788, 788, 788,
618 788, 788, 788, 789, 790, 791, 792, 792, 792, 792,
619 792, 793, 794, 795, 797, 797, 798, 799, 799, 799,
620
621 799, 799, 800, 801, 802, 802, 802, 802, 803, 804,
622 805, 806, 806, 806, 806, 806, 806, 806, 806, 806,
623 806, 806, 806, 806, 806, 806, 806, 806, 806, 806,
624 806, 806, 806, 806, 806, 806, 806, 806, 806, 807,
625 808, 808, 808, 809, 810, 811, 812, 812, 812, 812,
626 812, 812, 812, 812, 812, 812, 812, 812, 812, 812,
627 812, 812, 812, 812, 812, 812, 812, 812, 812, 813,
628 814, 815, 816, 817, 818, 819, 820, 821, 822, 823,
629 824, 825, 826, 827, 828, 829, 830, 831, 832, 833,
630 833, 833, 834, 835, 836, 837, 838, 838, 838, 838,
631
632 838, 838, 838, 839, 840, 841, 841, 842, 842, 842,
633 843, 844, 844, 844, 844, 844, 845, 846, 846, 846,
634 847, 848, 848, 848, 849, 850, 850, 851, 852, 852,
635 852, 852, 852, 852, 852, 852, 852, 852, 852, 852,
636 852, 852, 852, 852, 852, 852, 852, 852, 852, 852,
637 852, 852, 852, 852, 852, 852, 852, 853, 854, 854,
638 854, 855, 856, 858, 858, 858, 858, 858, 858, 858,
639 858, 858, 858, 858, 858, 858, 858, 858, 858, 858,
640 858, 859, 860, 861, 862, 863, 864, 865, 866, 867,
641 868, 869, 870, 871, 872, 873, 874, 875, 876, 877,
642
643 878, 879, 880, 881, 881, 881, 882, 882, 882, 882,
644 883, 883, 883, 883, 883, 883, 883, 884, 885, 886,
645 886, 886, 887, 887, 887, 887, 887, 888, 889, 889,
646 889, 890, 891, 891, 891, 892, 893, 894, 894, 894,
647 894, 894, 895, 895, 895, 895, 895, 895, 895, 895,
648 895, 895, 895, 895, 895, 895, 895, 895, 895, 895,
649 895, 895, 895, 895, 896, 897, 897, 897, 898, 899,
650 900, 901, 902, 902, 902, 902, 902, 902, 902, 902,
651 902, 902, 902, 902, 902, 902, 903, 904, 905, 906,
652 907, 908, 909, 910, 911, 912, 913, 914, 915, 916,
653
654 918, 919, 919, 919, 920, 920, 920, 920, 920, 920,
655 920, 920, 920, 921, 922, 923, 923, 923, 925, 925,
656 925, 925, 925, 926, 927, 927, 927, 928, 929, 929,
657 929, 930, 931, 931, 931, 931, 931, 931, 931, 932,
658 932, 932, 932, 932, 932, 932, 932, 932, 932, 932,
659 932, 932, 932, 932, 932, 932, 932, 932, 932, 932,
660 932, 932, 933, 933, 934, 935, 936, 936, 936, 937,
661 938, 939, 940, 941, 941, 941, 941, 941, 941, 942,
662 942, 942, 943, 943, 944, 945, 946, 947, 948, 949,
663 950, 951, 952, 953, 954, 955, 956, 957, 958, 959,
664
665 960, 961, 962, 964, 964, 964, 965, 965, 965, 965,
666 965, 965, 965, 965, 965, 966, 967, 968, 969, 969,
667 970, 970, 970, 970, 970, 971, 971, 971, 973, 973,
668 973, 974, 975, 975, 975, 976, 977, 977, 978, 978,
669 978, 978, 978, 979, 980, 981, 982, 982, 982, 982,
670 982, 982, 982, 982, 982, 982, 982, 982, 982, 982,
671 982, 982, 982, 982, 983, 983, 984, 985, 986, 987,
672 988, 989, 989, 989, 989, 989, 989, 989, 990, 990,
673 990, 991, 991, 992, 993, 994, 995, 996, 997, 998,
674 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008,
675
676 1008, 1008, 1008, 1008, 1008, 1008, 1008, 1008, 1008, 1008,
677 1009, 1010, 1011, 1012, 1012, 1012, 1012, 1013, 1013, 1013,
678 1014, 1014, 1014, 1015, 1016, 1016, 1016, 1017, 1018, 1018,
679 1019, 1019, 1020, 1020, 1020, 1020, 1020, 1020, 1020, 1020,
680 1020, 1020, 1020, 1020, 1020, 1020, 1020, 1020, 1020, 1020,
681 1021, 1022, 1023, 1024, 1025, 1025, 1025, 1025, 1025, 1025,
682 1025, 1025, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032,
683 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042,
684 1043, 1044, 1045, 1046, 1046, 1046, 1046, 1046, 1046, 1046,
685 1046, 1046, 1046, 1046, 1047, 1048, 1049, 1049, 1049, 1051,
686
687 1052, 1052, 1052, 1052, 1052, 1052, 1052, 1052, 1052, 1053,
688 1053, 1053, 1054, 1055, 1055, 1055, 1056, 1057, 1057, 1057,
689 1058, 1059, 1060, 1060, 1060, 1060, 1060, 1061, 1061, 1061,
690 1061, 1061, 1061, 1061, 1061, 1061, 1061, 1061, 1061, 1061,
691 1061, 1061, 1061, 1061, 1061, 1062, 1063, 1063, 1063, 1063,
692 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072,
693 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1081, 1081, 1081,
694 1081, 1081, 1081, 1081, 1081, 1081, 1081, 1081, 1081, 1082,
695 1083, 1084, 1085, 1086, 1087, 1087, 1087, 1087, 1088, 1089,
696 1089, 1089, 1089, 1089, 1089, 1090, 1090, 1090, 1090, 1091,
697
698 1092, 1092, 1092, 1092, 1092, 1093, 1094, 1095, 1096, 1097,
699 1097, 1097, 1097, 1097, 1097, 1097, 1097, 1097, 1097, 1097,
700 1097, 1097, 1097, 1097, 1097, 1097, 1097, 1097, 1097, 1097,
701 1097, 1097, 1097, 1097, 1097, 1097, 1097, 1098, 1098, 1098,
702 1098, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106,
703 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116,
704 1117, 1118, 1119, 1120, 1122, 1123, 1125, 1127, 1129, 1131,
705 1132, 1132, 1132, 1132, 1132, 1132, 1132, 1132, 1132, 1132,
706 1133, 1134, 1134, 1135, 1135, 1135, 1136, 1136, 1136, 1136,
707 1136, 1136, 1136, 1136, 1136, 1136, 1136, 1136, 1136, 1136,
708
709 1136, 1136, 1136, 1136, 1136, 1136, 1136, 1136, 1136, 1136,
710 1136, 1136, 1136, 1136, 1137, 1137, 1137, 1137, 1137, 1137,
711 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146,
712 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1156, 1157,
713 1159, 1161, 1163, 1165, 1166, 1167, 1168, 1168, 1168, 1168,
714 1169, 1170, 1170, 1170, 1170, 1170, 1170, 1170, 1170, 1170,
715 1170, 1170, 1170, 1170, 1170, 1170, 1170, 1170, 1170, 1171,
716 1171, 1171, 1171, 1171, 1171, 1171, 1171, 1171, 1171, 1171,
717 1171, 1171, 1171, 1171, 1171, 1171, 1171, 1171, 1171, 1171,
718 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181,
719
720 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1189, 1189,
721 1189, 1190, 1191, 1191, 1191, 1191, 1191, 1191, 1191, 1191,
722 1191, 1192, 1192, 1192, 1193, 1193, 1194, 1194, 1194, 1194,
723 1195, 1195, 1195, 1195, 1195, 1195, 1195, 1195, 1195, 1195,
724 1195, 1195, 1195, 1195, 1195, 1195, 1195, 1195, 1195, 1195,
725 1195, 1195, 1195, 1195, 1196, 1197, 1199, 1200, 1201, 1202,
726 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212,
727 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1221,
728 1222, 1223, 1223, 1223, 1223, 1223, 1223, 1223, 1224, 1225,
729 1226, 1226, 1226, 1226, 1226, 1226, 1226, 1226, 1226, 1227,
730
731 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236,
732 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1244, 1245,
733 1246, 1246, 1246, 1246, 1246, 1246, 1246, 1246, 1246, 1246,
734 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255,
735 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1264,
736 1264, 1264, 1264, 1264, 1264, 1264, 1264, 1264, 1264, 1264,
737 1264, 1264, 1264, 1264, 1265, 1266, 1267, 1268, 1269, 1270,
738 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1279, 1280, 1280,
739 1280, 1280, 1280, 1280, 1280, 1280, 1280, 1280, 1280, 1280,
740 1280, 1280, 1280, 1281, 1281, 1282, 1283, 1284, 1285, 1286,
741
742 1287, 1288, 1289, 1291, 1292, 1293, 1295, 1296, 1296, 1296,
743 1296, 1296, 1296, 1296, 1296, 1296, 1296, 1296, 1296, 1296,
744 1296, 1296, 1296, 1297, 1297, 1297, 1298, 1299, 1300, 1301,
745 1302, 1303, 1304, 1305, 1306, 1306, 1306, 1306, 1306, 1306,
746 1306, 1307, 1307, 1308, 1310, 1311, 1312, 1313, 1313, 1313,
747 1314, 1316, 1318, 1318, 1318, 1318, 1319, 1319, 1319, 1319,
748 1319
749 } ;
750
751static yyconst flex_int32_t yy_ec[256] =
752 { 0,
753 1, 1, 1, 1, 1, 1, 1, 1, 2, 3,
754 1, 1, 4, 1, 1, 1, 1, 1, 1, 1,
755 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
756 1, 5, 6, 7, 8, 9, 9, 10, 10, 11,
757 12, 13, 14, 10, 15, 16, 13, 17, 17, 17,
758 17, 17, 17, 17, 17, 17, 17, 18, 19, 20,
759 20, 20, 9, 21, 22, 23, 24, 25, 26, 27,
760 28, 29, 30, 31, 32, 33, 34, 35, 36, 37,
761 31, 38, 39, 40, 41, 42, 31, 31, 43, 31,
762 9, 44, 9, 9, 45, 1, 46, 47, 48, 49,
763
764 50, 51, 52, 53, 54, 31, 55, 56, 57, 58,
765 59, 60, 31, 61, 62, 63, 64, 65, 31, 31,
766 66, 31, 67, 10, 67, 9, 1, 1, 1, 1,
767 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
768 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
769 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
770 1, 1, 1, 1, 1, 1, 9, 1, 1, 1,
771 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
772 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
773 1, 1, 1, 9, 1, 1, 1, 1, 1, 1,
774
775 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
776 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
777 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
778 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
779 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
780 1, 1, 1, 1, 1
781 } ;
782
783static yyconst flex_int32_t yy_meta[68] =
784 { 0,
785 1, 2, 3, 4, 5, 6, 7, 8, 6, 6,
786 9, 9, 10, 11, 12, 13, 14, 15, 16, 17,
787 18, 19, 19, 19, 19, 19, 19, 20, 20, 20,
788 20, 20, 20, 20, 20, 20, 20, 20, 20, 20,
789 20, 20, 20, 21, 22, 19, 19, 19, 19, 19,
790 19, 20, 20, 20, 20, 20, 20, 20, 20, 20,
791 20, 20, 20, 20, 20, 20, 23
792 } ;
793
794static yyconst flex_int16_t yy_base[2160] =
795 { 0,
796 0, 67, 134, 200, 264, 331, 398, 465, 532, 38,
797 599, 666, 733, 800, 867, 934, 1001, 1068, 1135, 1202,
798 1269, 1336, 204, 0, 208, 0, 1403, 1470, 1537, 1604,
799 302, 0, 1168,27243, 20, 24, 43, 1670, 1118, 25,
800 1124, 1736, 369, 54, 1802, 48, 94, 1861, 1920, 98,
801 284, 1986, 2045, 2105, 0, 2, 4, 68, 2124, 307,
802 2190, 1761, 1823, 0, 265, 2, 56, 324, 67, 0,
803 1, 399, 326, 49, 176,27243, 117, 214, 421, 2253,
804 1109, 204, 1114, 2319, 637, 1100, 451, 445, 389, 2385,
805 653,27243, 1854, 1942, 1948, 0,27243,27243, 1099, 228,
806
807 27243, 1105, 456, 449, 0, 2451, 654, 426, 491, 497,
808 2505, 2572, 72, 704, 72, 515, 619, 633, 2639, 771,
809 2706,27243, 696, 700, 754, 0, 1045, 241, 1044, 788,
810 0, 838, 655,27243, 1624, 1642, 1693, 0, 1035, 306,
811 1038, 789, 0, 1708, 724, 353, 1032, 2772, 854, 360,
812 1030, 2838, 855, 763, 777,27243,27243, 2905, 2972, 3038,
813 508, 3104, 2015, 830, 834, 985, 0,27243, 0, 992,
814 0, 116, 435, 986, 0, 0, 0, 905, 254, 657,
815 0, 897, 0, 626, 901, 3170, 3229, 3248, 931, 3297,
816 961, 627, 628, 3363, 724, 3429, 968, 1021, 1026, 1030,
817
818 1088, 1105, 3495, 0, 0, 1956, 974, 2040, 2148, 2065,
819 2152, 1155, 1159, 957, 3554, 1060, 3573, 398, 338, 1141,
820 465, 1142, 3620, 3686, 975, 3752, 2162, 0, 1198, 932,
821 331, 1265, 255, 737, 800, 1271, 1332, 1199, 1200, 2407,
822 253, 259, 868, 1203, 1268, 1335, 1078, 1270, 1209, 1204,
823 1208, 603, 8, 1274, 1340, 483, 1143, 673, 1342, 1013,
824 1077, 1441, 973, 927, 720, 1179, 1423, 786, 3815, 3881,
825 853, 1455, 2411, 2444, 397, 723, 735, 1486, 1461, 3947,
826 1051, 1183, 847, 3988, 4039, 4106, 916, 844, 1487, 1781,
827 1701, 1366, 1433, 0, 0, 4173, 1840, 736, 4240, 1551,
828
829 1892, 2077, 790, 1552, 2158, 847, 979, 0, 4306, 4372,
830 0, 936, 842, 1041, 0, 4438, 4504, 0, 998, 810,
831 4571, 1232, 4638, 0, 4704, 4770, 0, 1493, 4836, 4902,
832 0, 1754, 793, 0, 697, 722, 206, 1060, 118, 1309,
833 1524, 4968, 4987, 1527, 1134, 5044, 5110, 1449, 5176, 1593,
834 5242, 1898, 2289, 2560, 2627, 0, 5308, 1529, 1342, 1533,
835 5367, 0, 0, 1602, 5426, 5478, 1797, 1552, 1789, 1848,
836 1272, 5528, 5594, 1206, 1461, 1395, 1664, 1339, 1667, 1139,
837 1606, 1598, 1950, 1919, 1671, 2037, 1949, 1941, 2123, 1666,
838 1951, 1835, 1270, 1396, 2051, 2188, 2197, 1463, 1973, 1643,
839
840 1784, 1989, 1746, 2060, 2248, 2250, 2177, 2252, 2249, 2152,
841 2256, 2262, 2315, 2341, 688, 593, 2015, 5660, 892, 183,
842 2354, 281, 5726, 2564, 2078, 5779, 5830, 1515, 644, 5897,
843 2379, 1472, 5964, 2371, 1340, 6030, 637, 520, 491, 493,
844 1568, 482, 6090, 2475, 0, 487, 0,27243, 439, 0,
845 2534, 2424, 2027, 2410, 2429, 2260, 6156, 6222, 6288, 6354,
846 1341, 1607, 2694, 2808, 6420, 2445, 2321, 2507, 2572, 2326,
847 2449, 2500, 2601, 2663, 2668, 2631, 2870, 2391, 2502, 6479,
848 6545, 2703, 2560, 2643, 2694, 2704, 2709, 2036, 2712, 2760,
849 2761, 2705, 2713, 2773, 2772, 2768, 1736, 2769, 2835, 2829,
850
851 2896, 1551, 1692, 2120, 2505, 2835, 2839, 2840, 2937, 6612,
852 2895, 2900, 2905, 2906, 2943, 2749, 3033, 2918, 2973, 2975,
853 3075, 2194, 2539, 2672, 2994, 372, 431, 0, 921, 3126,
854 3002, 925, 412, 3131, 3451, 3062,27243, 6678, 6697, 3033,
855 6760, 1734, 1710, 1866, 376, 2365, 3138, 3162, 6827, 6894,
856 435, 380, 361, 3025, 2694, 3457, 3707, 3185,27243, 6960,
857 6979, 3034, 7042, 2612, 340, 296, 288, 2689, 0, 1917,
858 2329, 7108, 7168, 3267, 3317, 7187, 7253, 7319, 7385, 2428,
859 2470, 3477, 3656, 3357, 3734, 7451, 7510, 7569, 7628, 2908,
860 2971, 3549, 3097, 3108, 7687, 7749, 2575, 3328, 3165, 3210,
861
862 3277, 3282, 3333, 3237, 3177, 7800, 7866, 3352, 3421, 3393,
863 3531, 3605, 3408, 3609, 3843, 3652, 3461, 3718, 3838, 7932,
864 3903, 3863, 3675, 3671, 3873, 2622, 1898, 3596, 3131, 2901,
865 3301, 3461, 3086, 3206, 3397, 3648, 3743, 7992, 8059, 8126,
866 3296, 3913, 3441, 3478, 1942, 3885, 3918, 4139, 4206, 3364,
867 3492, 3749, 3618, 3732, 3567, 3928, 3853, 3981, 3985, 258,
868 0, 240, 1126, 5270, 4023, 3956,27243, 4925, 2700, 2767,
869 4294, 4063, 4067, 8192, 8211, 3760, 2779, 8268, 8334, 4071,
870 2806, 1991, 2974, 3071, 3233, 3252, 3275, 3490, 2193, 2976,
871 8401, 8468, 980, 239, 3714, 3107, 3125, 4090, 4134, 4130,
872
873 4143, 8534, 8553, 3505, 8610, 8676, 4199, 3388, 3513, 3600,
874 206, 204, 3712, 110, 3510, 2266, 8742, 8795, 3904, 8853,
875 8919, 8985, 9051, 2407, 3622, 9117, 9176, 9235, 9295, 4342,
876 9355, 3753, 9420, 322, 4225, 3950, 3963, 4035, 9479, 9528,
877 3683, 4269, 4172, 99, 4157, 4038, 9591, 9657, 4336, 3814,
878 3973, 4234, 4226, 4306, 4364, 4250, 4176, 3707, 4371, 4362,
879 4231, 4363, 3932, 4372, 4044, 4409, 3171, 3309, 3798, 3878,
880 4294, 4432, 9724, 4458, 9791, 9858, 0, 0, 5291, 80,
881 9924, 9983, 5330,10042, 4428,10102, 4238, 4439, 3903, 4524,
882 4532, 4431, 4497, 4553, 4444, 61, 4597, 4528, 3960, 4069,
883
884 4664, 4601,10165,10212, 4571,10274,10340, 4089, 4104, 4162,
885 3977, 3599, 3403, 3498, 3508,10407,10474, 4177, 4247, 4270,
886 3757, 4669, 4733,10540,10587,10634,10700, 4153, 43, 4294,
887 3920, 4574, 4383, 4370,10766,10832,10898,10964, 4310, 4739,
888 4806, 4872, 5014,11030,11089,11148,11207,11266,11328,11387,
889 5080, 4637, 4143, 4571, 4704, 4636, 4710, 4443, 4375, 4799,
890 4691, 4772, 4822,11450,11516, 4503, 4703, 4439, 4866, 4452,
891 11582, 4757, 4769, 4504, 4507, 4890, 4938, 4846, 4510, 4566,
892 4766, 4826, 4893, 4933, 5006, 5010,11642,11709, 0, 5384,
893 5398, 5449, 5359, 2942,11775, 5684, 5688,11832, 5764, 5032,
894
895 4963, 5028, 5030, 5075, 5139, 5110, 5095, 5199, 5264, 5159,
896 5508, 5692, 4183, 4313, 5457, 5696,11893,11955, 4927, 4639,
897 4575, 4659, 5117, 5007, 4697, 4707,12015,12082, 4757, 4683,
898 4775, 4768, 5770, 5865,12148,12210,27243, 4824, 4826, 5229,
899 5109, 5463, 5182, 5122, 4986, 4839, 4810, 5468,12259,12319,
900 5524, 5525, 5952, 5988, 5992, 6126,12378, 5179, 6019, 4894,
901 12437, 5526, 5529, 5236, 5309, 4847, 5617, 4914, 5207, 5394,
902 5513, 5622, 5266, 5591, 5245, 5317, 5518, 5389, 5647, 5756,
903 5178, 5689, 5817, 4985, 5043, 5700, 5596, 5643, 6378, 5088,
904 5050, 6192, 6382, 6636, 6605, 6640, 6704, 6986, 6918, 5317,
905
906 5830, 6135, 6244, 5831, 5125, 5941, 5856, 6326, 5110, 5294,
907 5923, 6391, 5725, 5818, 6002, 5300, 5367, 0, 5465, 5737,
908 5161, 5287, 5593, 6117, 5385, 5368, 5464, 5518, 5996, 6451,
909 5836, 5758, 5525, 5523, 6029, 6002, 5900, 5980, 6141, 5439,
910 12500,12559, 6282,12618, 6158, 6480, 6815, 7065,12677, 6030,
911 7079, 7223, 7408, 7412, 5469, 6092, 6155, 4549, 7471, 7489,
912 5533, 6219, 6224, 6509, 5530, 5824, 5480, 6575, 5947, 5918,
913 242, 5929, 6068, 6097, 6285, 6156, 6151, 6318, 6407, 6379,
914 6401, 826, 5608, 6273, 6530, 5543, 5719, 7540, 7599, 6754,
915 7635,12736,12795, 7530, 7417, 7620, 7707, 7890, 7894, 6922,
916
917 6252, 6460, 6395, 6745, 6860, 6409, 5772, 6722, 6796, 6646,
918 5655, 5729, 7070, 7902, 6089, 6278, 6467, 6407, 5851,27243,
919 6382, 6582, 5956, 6670,12855, 6716, 6784, 4154, 5894, 5947,
920 6049, 6073, 7011, 6928, 6479, 6541, 6032, 6665, 6538, 6576,
921 6820, 6830, 7093, 6791, 7148, 6849, 7160,12921, 7721, 7317,
922 6961, 7738, 7986, 6037, 6159, 6696, 6291, 6353, 6162, 6154,
923 6426, 6830, 6932,27243, 6978, 8085, 8238, 7241, 7420, 6531,
924 6887, 6218, 7074, 7216, 7348, 7711, 6453, 7525, 7313, 7517,
925 7098, 6972, 1170, 1513, 6460, 6285,12980,13039,13098,13158,
926 8492, 8028, 8559,13218,13277, 8153, 8702, 8735, 8764, 7716,
927
928 7782, 6498, 8217, 7830, 7282, 6352, 6404, 7591, 7851, 6538,
929 7117, 6985,13336, 6602,13381, 6952,27243,13448, 7210,13515,
930 6619, 6675, 6611, 8104, 7968, 7824, 6823, 6703, 6690, 7237,
931 7040, 8114, 7306,13581,13640, 7733, 8159, 7985, 6766, 6748,
932 7110, 6979, 6771, 7321, 6755, 6813, 7252, 8458, 8039, 8304,
933 9071, 8354, 7092, 6825, 6890, 8367, 8254, 6971, 8300, 8430,
934 8320, 7254, 8178, 8502, 7413, 7047, 7789, 9087, 9138, 9157,
935 13699,13758,13817,13876,13935, 9147, 9203,13998, 9197, 6923,
936 9228,14064, 9253, 8574, 8596, 7139, 7963, 8640, 8661, 7100,
937 7244, 8825,14124,14168, 7458, 7616, 8080,14227,27243,14271,
938
939 14315, 7184,14382, 8015, 8291, 8371, 7185, 8527, 7329, 8698,
940 14448,27243,14492, 8706, 8815,14536,14595, 3018,14644,14710,
941 0, 4017,14776, 8260, 9279, 9076, 9309,14842, 7321, 7319,
942 9315, 8914, 8979, 9374, 7357, 7388,14901,14960, 1529,15019,
943 8729, 9391, 9459, 9499,15078,15097, 7401, 8877, 8886,15154,
944 7404, 8394,15220,15280,15340,15407,15474,15541,15608,15675,
945 7409, 7421, 8600, 7425, 9578, 7635, 8453, 8954, 9324, 7455,
946 9257,15741, 9386, 9107, 7455, 8776, 8833, 8434,27243,15800,
947 15819, 7499, 7549,15882, 8498,15941,15985,16029,16073,16117,
948 16183,16249, 4094, 7499, 7450, 8648,16315,16359,16403,16447,
949
950 16491, 9568, 8904, 8710,27243,16550,16569, 7547,16632,16691,
951 16757,16823, 8324,16889, 7531, 7476, 9718, 9785, 9885, 9939,
952 9977, 9998,10071, 7526, 8844,16948, 7536,10081,10122, 7800,
953 10193,10207,17007, 9006, 9369, 9505,17067, 8837, 8881, 9688,
954 17086, 7543,17152,17211,10268,17270,17330,17397,17464,17531,
955 7557, 7576,10395,10497,10524,10567,17598,17665, 7617, 7973,
956 10670,10736, 9552, 7595, 7904,10984,11002, 9573, 7917, 9016,
957 9638, 7601, 9813, 9184, 9437,17731, 8966,11061, 9680,17790,
958 17848,17908,17974,18040,18106, 9442, 7611, 9761, 9642,18172,
959 18231, 9992, 7636, 9346,10310,10401,10892,11068,11142,11082,
960
961 9895, 7615, 9836,18297, 7644,10563, 8908,18356,10188, 9931,
962 9960,10319,10111,27243,10117,10813,18422, 9825,18468,18534,
963 10020,18600,11153,10218, 7869,10628,10988,18660,18727, 7814,
964 7990, 8404, 7636, 7736,18794,18861, 8164, 0, 9466,11212,
965 642,11226, 8378, 6807, 9023, 9692, 7658,11271,11308,18927,
966 18974,19032, 0, 7740,19098,10200, 7663,10501, 7684,11312,
967 11072,11348,19164, 7755, 8234,11486,11553,10506,10528, 9266,
968 9845,11391,19223, 0, 9954,10664,10730,10865,11418,19289,
969 10437,19347,11599, 9619,11243,19413,10363,11669,10751,19479,
970 19538, 8246, 9083, 7999, 7754, 7788, 8582, 8473, 7929, 9851,
971
972 7790, 7799, 8389,10757,11736,11779,11569, 4621,10374,11480,
973 8055, 8917, 7931, 7934, 7863,11809, 4762,11850,11912, 7988,
974 10819,10692, 8522,27243, 8059,10799,10823, 8133,11320, 8306,
975 10886,11133,11824,19597, 0,11248,11788,11886,11925,11978,
976 19663, 9949,10144,11573,19721, 0,10246,10610,10658,10790,
977 10928,11983,11501,19787,12070,12167,10035, 7993, 8032, 8336,
978 10102, 9500,11916, 8337, 8052, 8616,19846,19905,12007, 8992,
979 12194, 8914,12230,12143,10408, 4956, 7371,11407,11546, 9058,
980 8987, 8099,11441, 9623,12106,12248,11623,27243, 0,11430,
981 8340,11695,11995, 8341,12189,10060,11635,10517, 0,12324,
982
983 12047, 9392, 8397, 8189, 9721,12268, 9166,12312,12357,12393,
984 9196, 8194,11740, 9695, 8463, 9392, 8208,12110, 9526,27243,
985 10252, 5032,12002, 5036,11800,12239,12408,19964,10860,12453,
986 10384,12418,11173, 8273,12171, 9314,12457,12479,12472, 9727,
987 8495, 9077, 8521,12275,12516, 8406,12540, 8546,12430, 8053,
988 6111,12521,20022,20088,11745,11933,12566,10575,12586,12135,
989 12623,12647,12657,12627, 8552,12397, 8625, 9859, 9360,12685,
990 12695, 8624,10295,12755,12774,10956, 8534, 8623,12301, 7290,
991 8819,20154, 0, 9646,20220,20286,20352,12875,12879,12883,
992 12705,12801, 0,20418,12825, 8741, 8598,12913,12939,12950,
993
994 13001,12745, 8766,13011,10305, 0, 8600, 8731,12604,13016,
995 13021, 0, 0,20484,12836,13045,13060, 9711,13075,13080,
996 13103,20550, 0,20603, 0, 0,13127,13032,10460,13138,
997 13166,13184, 8743,27243,10688, 9054, 9094,13176,13197,13235,
998 13226,13245,10870,10951, 9850, 0, 0,20669,13257,13297,
999 12530,12691,20735,20801,10621,13318,13368, 0, 0,27243,
1000 20868,20891,20914,20937,20960,20983,21006,21029,21052,21075,
1001 21098,21120,21136,21157,21179,21200,21222,21243,21264,21285,
1002 21306,21328,21349,21372,21394,21416,21432,21453,21469,21490,
1003 21513,21535,21552,21573,21596,21609,21630,21651,21672,21693,
1004
1005 21714,21736,21758,21779,21800,21822,21843,21866,21889,21911,
1006 21933,21956,21967,21988,21999,22020,22043,22066,22083,22104,
1007 22121,22142,22165,22181,22202,22223,22245,22267,22288,22310,
1008 22332,22353,22376,22398,22419,22441,22463,22486,22509,22532,
1009 22554,22564,22574,22596,22615,22637,22659,22682,22705,22727,
1010 22749,22772,22794,22815,22836,22859,22882,22905,22928,22950,
1011 22970,22991,23012,23023,23045,23068,23091,23114,23137,23160,
1012 23182,23202,23223,23244,23267,23290,23313,23336,23358,23379,
1013 23401,23424,23446,23467,23488,23509,23532,23555,23578,23601,
1014 23624,23646,23666,23687,23708,23719,23741,23764,23787,23810,
1015
1016 23833,23855,23876,23897,23920,23943,23966,23988,24009,24031,
1017 24054,24077,24099,24120,24141,24162,24185,24208,24231,24254,
1018 24276,24297,24318,24329,24351,24374,24397,24419,24440,24461,
1019 24484,24506,24528,24551,24574,24596,24617,24638,24661,24684,
1020 24707,24729,24750,24772,24795,24817,24838,24859,24881,24904,
1021 24927,24949,24971,24993,25014,25036,25058,25079,25100,25120,
1022 25141,25162,25185,25207,25229,25240,25261,25282,25303,25324,
1023 25345,25366,25388,25411,25433,25454,25475,25496,25517,25540,
1024 25563,25586,25609,25632,25654,25675,25696,25716,25737,25758,
1025 25779,25800,25822,25833,25854,25875,25896,25917,25938,25958,
1026
1027 25979,26000,26022,26044,26065,26086,26107,26128,26149,26170,
1028 26191,26214,26237,26260,26283,26306,26328,26349,26370,26391,
1029 26413,26435,26457,26478,26499,26521,26542,26564,26585,26606,
1030 26627,26648,26669,26692,26715,26738,26760,26781,26802,26823,
1031 26845,26866,26887,26909,26930,26951,26972,26993,27015,27037,
1032 27058,27079,27100,27122,27133,27154,27176,27197,27219
1033 } ;
1034
1035static yyconst flex_int16_t yy_def[2160] =
1036 { 0,
1037 1861, 1861, 1860, 3, 1862, 1862, 1863, 1863, 1860, 9,
1038 1864, 1864, 1865, 1865, 1866, 1866, 1867, 1867, 1868, 1868,
1039 1869, 1869, 2, 2, 2, 2, 1870, 1870, 1871, 1871,
1040 2, 2, 1860, 1860, 1860, 1860, 1860, 1872, 1860, 1873,
1041 1873, 1874, 1860, 1873, 1875, 1860, 1860, 1875, 1876, 1877,
1042 1878, 1879, 1880, 1880, 54, 54, 54, 54, 1881, 1878,
1043 48, 1860, 1860, 61, 54, 54, 54, 54, 54, 54,
1044 54, 54, 54, 54, 54, 1860, 1860, 1860, 1860, 1872,
1045 1860, 1873, 1873, 1874, 1860, 1860, 1873, 1882, 1882, 1883,
1046 1882, 1860, 1860, 1860, 1860, 80, 1860, 1860, 1860, 1873,
1047
1048 1860, 1873, 1873, 1873, 84, 1860, 1873, 1860, 1860, 1860,
1049 1884, 1884, 112, 1860, 112, 1885, 1860, 1860, 1886, 1885,
1050 1886, 1860, 1860, 1860, 1860, 80, 1860, 1873, 1873, 1873,
1051 84, 1860, 1873, 1860, 1860, 1860, 1860, 80, 1860, 1873,
1052 1873, 1873, 84, 1860, 1873, 1887, 1887, 1888, 1887, 1889,
1053 1889, 1890, 1889, 1860, 1860, 1860, 1860, 1891, 1891, 1892,
1054 1893, 1894, 1893, 1860, 1860, 1860, 80, 1860, 80, 1895,
1055 1896, 1873, 1873, 1873, 84, 84, 84, 1860, 1860, 1873,
1056 48, 1860, 48, 1897, 1898, 1899, 1900, 1900, 188, 1901,
1057 1860, 80, 1897, 1902, 80, 1902, 1860, 1860, 1898, 1903,
1058
1059 1903, 1903, 1899, 203, 203, 203, 1860, 203, 203, 203,
1060 203, 1860, 1898, 1897, 1904, 203, 1904, 217, 217, 217,
1061 217, 217, 1905, 1905, 224, 48, 1860, 226, 188, 188,
1062 188, 188, 188, 188, 188, 188, 188, 188, 188, 1860,
1063 1860, 1860, 217, 217, 217, 217, 217, 217, 217, 217,
1064 217, 217, 217, 217, 217, 217, 217, 217, 217, 217,
1065 217, 1860, 1860, 1895, 1860, 1906, 1906, 1906, 1907, 1907,
1066 270, 1906, 1860, 1860, 1860, 1860, 1860, 1873, 1873, 1860,
1067 1860, 1860, 1908, 1908, 1909, 1909, 1860, 286, 286, 1860,
1068 286, 1910, 1860, 1911, 1911, 1912, 1910, 1911, 1912, 1873,
1069
1070 1860, 1860, 1860, 1873, 1860, 1913, 1913, 1913, 1914, 1914,
1071 310, 1913, 1915, 1915, 1915, 1916, 1916, 317, 1915, 1917,
1072 1918, 1860, 1918, 1919, 1920, 1920, 1919, 1921, 1922, 1922,
1073 330, 1921, 1860, 1895, 1923, 1924, 1873, 1860, 1873, 1860,
1074 1860, 1925, 1925, 343, 343, 1926, 1926, 347, 1927, 1860,
1075 1927, 1928, 1860, 1860, 1929, 1930, 1929, 357, 357, 357,
1076 1929, 361, 361, 357, 1931, 1931, 366, 366, 366, 366,
1077 366, 1932, 1932, 343, 343, 343, 343, 343, 343, 343,
1078 343, 343, 343, 343, 343, 343, 343, 343, 343, 343,
1079 343, 343, 1860, 1860, 366, 366, 366, 366, 366, 366,
1080
1081 366, 366, 366, 366, 366, 366, 366, 366, 366, 366,
1082 366, 366, 366, 366, 1860, 1933, 1934, 1935, 1934, 1860,
1083 1860, 1860, 1936, 1860, 1873, 1937, 1938, 1860, 1860, 1938,
1084 430, 1939, 1940, 1873, 1860, 1941, 1942, 1942, 1943, 1943,
1085 1860, 1860, 1944, 1945, 1946, 1947, 1948, 1860, 1860, 1949,
1086 1860, 1860, 343, 343, 343, 343, 1950, 1950, 1951, 1951,
1087 1860, 1860, 1952, 1953, 1953, 465, 465, 465, 465, 465,
1088 366, 366, 1860, 1860, 1954, 366, 366, 366, 366, 1955,
1089 1955, 343, 343, 343, 343, 343, 343, 343, 343, 343,
1090 343, 343, 343, 343, 343, 343, 343, 343, 343, 343,
1091
1092 343, 1860, 1860, 366, 366, 366, 366, 366, 366, 1956,
1093 366, 366, 366, 366, 366, 366, 366, 366, 366, 366,
1094 366, 366, 1860, 1860, 1954, 1957, 1958, 1959, 1949, 1960,
1095 1860, 1860, 1860, 1860, 1960, 1961, 1860, 1962, 1962, 539,
1096 1963, 1860, 1860, 1964, 1965, 1966, 1965, 1860, 1967, 1967,
1097 1968, 1969, 1970, 1860, 1964, 1971, 1971, 1972, 1860, 1973,
1098 1973, 561, 1974, 1975, 1976, 1977, 1978, 1860, 1949, 1860,
1099 1860, 1979, 1979, 573, 573, 1980, 1980, 1981, 1981, 1860,
1100 1860, 1982, 1982, 1860, 1982, 1982, 1982, 1983, 1983, 589,
1101 589, 589, 589, 589, 1984, 1984, 1860, 1985, 596, 1860,
1102
1103 1860, 1985, 1985, 596, 596, 1986, 1986, 573, 573, 573,
1104 573, 573, 573, 573, 573, 573, 573, 573, 573, 573,
1105 573, 573, 573, 573, 573, 1860, 1860, 596, 596, 596,
1106 596, 596, 1860, 1860, 1985, 1949, 1949, 1987, 1987, 1988,
1107 596, 596, 596, 596, 596, 596, 1860, 1860, 1985, 596,
1108 596, 596, 596, 596, 596, 596, 1860, 1860, 1985, 1989,
1109 1990, 1991, 1949, 1992, 1993, 1993, 1860, 1860, 1860, 1860,
1110 1993, 1860, 1993, 1994, 1994, 675, 675, 1995, 1995, 679,
1111 1860, 1860, 1996, 1997, 1997, 1997, 1998, 1998, 1860, 1860,
1112 1999, 1999, 2000, 2001, 1860, 1996, 1996, 2002, 2002, 1860,
1113
1114 2002, 2003, 2003, 703, 2004, 2004, 706, 2005, 2005, 2005,
1115 2006, 2007, 1860, 1860, 1860, 1860, 2008, 2008, 718, 2009,
1116 2009, 2010, 2010, 1860, 1860, 2011, 2012, 2012, 2013, 2013,
1117 2013, 731, 1860, 733, 731, 731, 731, 731, 2014, 2014,
1118 1860, 2015, 740, 1860, 740, 740, 2016, 2016, 718, 718,
1119 718, 718, 718, 718, 718, 718, 718, 718, 718, 718,
1120 718, 718, 718, 718, 718, 718, 1860, 1860, 740, 740,
1121 740, 740, 2017, 2018, 2019, 2017, 776, 776, 776, 2018,
1122 776, 776, 776, 776, 740, 740, 740, 740, 740, 2015,
1123 2015, 740, 740, 740, 740, 2020, 2021, 2021, 1860, 1860,
1124
1125 2021, 2021, 2022, 2022, 804, 2023, 2023, 1860, 1860, 2024,
1126 2025, 2026, 2026, 1860, 1860, 2027, 2027, 1860, 1860, 2024,
1127 2024, 2028, 2028, 2029, 2029, 2030, 2030, 2031, 1860, 1860,
1128 1860, 718, 718, 718, 2032, 2032, 2033, 2033, 1860, 1860,
1129 2034, 2034, 2034, 2035, 2034, 2034, 2034, 2034, 2036, 2036,
1130 2037, 850, 1860, 850, 850, 850, 740, 740, 1860, 2015,
1131 740, 740, 740, 2038, 2038, 718, 718, 718, 718, 718,
1132 718, 718, 718, 718, 718, 718, 718, 718, 1860, 1860,
1133 740, 740, 740, 1860, 1860, 2015, 2039, 2039, 888, 888,
1134 2040, 2040, 888, 2041, 888, 888, 888, 888, 888, 740,
1135
1136 740, 740, 740, 2015, 2015, 740, 1860, 1860, 2015, 740,
1137 2042, 2042, 1860, 1860, 2042, 2042, 2043, 2043, 918, 1860,
1138 1860, 2024, 2044, 2044, 1860, 1860, 2045, 2045, 1860, 1860,
1139 2024, 2024, 2046, 2046, 2047, 2047, 1860, 1860, 1860, 718,
1140 718, 718, 836, 836, 838, 838, 1860, 1860, 2034, 2034,
1141 850, 850, 2048, 2048, 2037, 2037, 2037, 957, 850, 1860,
1142 850, 850, 850, 740, 740, 1860, 2015, 740, 1860, 1860,
1143 2015, 2015, 865, 865, 718, 718, 718, 718, 718, 718,
1144 718, 718, 718, 1860, 1860, 740, 740, 740, 888, 2040,
1145 2040, 2049, 888, 888, 888, 888, 888, 888, 888, 740,
1146
1147 740, 2015, 2015, 740, 1860, 2015, 2042, 2042, 1860, 1860,
1148 2042, 2042, 918, 918, 918, 1860, 1860, 2024, 2044, 2044,
1149 1860, 1860, 928, 928, 1860, 1860, 2024, 2024, 2046, 2046,
1150 936, 936, 1860, 1860, 718, 836, 836, 838, 838, 1860,
1151 2034, 2034, 1860, 2034, 850, 850, 2048, 2048, 2048, 1049,
1152 2048, 2048, 1860, 1860, 957, 957, 957, 1860, 1860, 1860,
1153 961, 850, 850, 961, 740, 740, 1860, 2015, 740, 1860,
1154 1860, 1860, 2015, 865, 865, 718, 718, 718, 718, 718,
1155 718, 1860, 1860, 740, 740, 2040, 2040, 2049, 2049, 2040,
1156 2049, 2049, 2049, 888, 888, 888, 888, 888, 888, 888,
1157
1158 1860, 2015, 740, 2015, 2015, 740, 1860, 2015, 2042, 2042,
1159 1860, 1860, 2042, 2042, 918, 918, 918, 918, 1860, 1860,
1160 2044, 2044, 1860, 1860, 2050, 2044, 1860, 1860, 1860, 1860,
1161 2024, 2024, 2046, 2046, 936, 936, 1860, 1860, 718, 836,
1162 836, 838, 838, 1860, 838, 838, 1860, 2034, 2034, 850,
1163 850, 1860, 1860, 1049, 1049, 1049, 1049, 1049, 1860, 1860,
1164 957, 957, 1860, 1860, 850, 1860, 1860, 961, 850, 740,
1165 740, 1860, 2015, 1860, 1860, 2015, 865, 865, 718, 718,
1166 718, 718, 1860, 1860, 2040, 2040, 2051, 2049, 2049, 888,
1167 888, 888, 888, 2040, 2040, 888, 888, 888, 888, 2015,
1168
1169 2015, 1860, 2015, 2042, 2042, 1860, 1860, 2042, 2042, 918,
1170 918, 918, 2052, 1860, 2053, 2054, 1860, 2053, 2054, 2050,
1171 1860, 1860, 2024, 2055, 2046, 2046, 936, 936, 1860, 1860,
1172 836, 836, 838, 2034, 2034, 850, 850, 850, 1860, 1860,
1173 1049, 1049, 1049, 1049, 1860, 1860, 957, 957, 961, 1860,
1174 1860, 850, 740, 740, 1860, 2015, 1860, 1860, 1860, 2015,
1175 2015, 865, 865, 865, 865, 2040, 2040, 2049, 2049, 2049,
1176 2051, 2049, 2049, 2049, 2049, 888, 888, 2056, 888, 2040,
1177 888, 888, 888, 2015, 2015, 1860, 2015, 2042, 2042, 1860,
1178 1860, 2042, 2057, 2058, 918, 918, 2059, 2060, 1860, 2061,
1179
1180 2062, 1860, 2063, 2064, 2065, 1860, 1860, 2059, 2066, 2059,
1181 2067, 1860, 2068, 2069, 2069, 2070, 2071, 1860, 2072, 2072,
1182 1320, 1320, 2073, 1860, 2074, 1860, 2074, 2075, 1860, 1860,
1183 2076, 2076, 2076, 2076, 1860, 1860, 2077, 1860, 1338, 2077,
1184 1340, 1860, 1860, 2075, 2078, 2078, 1860, 2015, 2015, 2079,
1185 2080, 2080, 2081, 2081, 2082, 2082, 2083, 2083, 2084, 2084,
1186 1360, 1360, 1356, 2080, 1356, 1356, 1356, 2015, 2015, 1860,
1187 2015, 2085, 2086, 1860, 1860, 2086, 2087, 2086, 1860, 2088,
1188 2088, 1381, 1381, 2089, 1860, 2090, 2090, 2091, 2091, 2092,
1189 2092, 2093, 1860, 1860, 2094, 1860, 2095, 2095, 2096, 2096,
1190
1191 2097, 2098, 2099, 2098, 1860, 2100, 2100, 1407, 2101, 2102,
1192 2103, 2103, 1860, 2104, 1860, 1860, 2105, 2105, 2105, 1860,
1193 1860, 2105, 2105, 1860, 1860, 2106, 1860, 2106, 1860, 1860,
1194 1860, 2104, 2104, 2107, 2107, 2108, 2109, 1860, 2110, 2110,
1195 2111, 2112, 2113, 2113, 2112, 2113, 2114, 2114, 2115, 2115,
1196 1450, 1450, 1450, 1450, 2112, 2112, 2116, 2116, 1458, 2112,
1197 2112, 2112, 1448, 1448, 1448, 2112, 2112, 1448, 1448, 2110,
1198 2110, 1860, 2110, 2117, 2117, 2118, 1860, 1860, 2117, 2119,
1199 2119, 2120, 2120, 2121, 2122, 1860, 1860, 2123, 2123, 2124,
1200 2125, 1433, 1860, 1860, 2105, 1860, 1860, 2105, 2105, 2105,
1201
1202 2105, 1860, 1860, 2106, 1860, 1504, 2126, 2127, 2128, 2129,
1203 2129, 2130, 2131, 1860, 2131, 2131, 2132, 2110, 2133, 2133,
1204 2112, 2134, 2134, 1448, 1448, 2112, 2112, 2135, 2135, 1529,
1205 1529, 1529, 2112, 2112, 2136, 2136, 2112, 2112, 1448, 2112,
1206 2112, 2112, 1448, 1860, 2110, 2110, 1860, 2110, 2137, 2138,
1207 2138, 2121, 1552, 1860, 2125, 1555, 1860, 1860, 1860, 2105,
1208 2105, 2105, 2105, 1860, 1860, 1860, 1860, 1504, 1504, 1860,
1209 2126, 2126, 2127, 1573, 1573, 1860, 1860, 2110, 2128, 2128,
1210 2139, 2140, 2141, 2142, 2142, 2143, 2144, 2144, 2110, 2134,
1211 2134, 1448, 1448, 1448, 2112, 2112, 1529, 1529, 1529, 1529,
1212
1213 2112, 2112, 1536, 1536, 2112, 2112, 1448, 1860, 2110, 2137,
1214 1551, 1551, 1860, 1860, 1860, 1563, 1563, 1860, 1860, 1563,
1215 1563, 1860, 1860, 1860, 1860, 2126, 1573, 1573, 2110, 1580,
1216 1860, 2139, 2139, 2140, 1634, 1634, 1860, 1860, 2110, 2141,
1217 2141, 1860, 2142, 2142, 2143, 1645, 1645, 1860, 1860, 2110,
1218 2144, 2144, 2110, 2134, 2112, 2134, 1448, 2112, 2112, 1529,
1219 1529, 1529, 1529, 2112, 2112, 1536, 2112, 2112, 1536, 1536,
1220 2112, 2112, 2112, 1448, 1448, 1860, 1860, 2110, 2137, 1551,
1221 1551, 1860, 1860, 1860, 1860, 1860, 1563, 1860, 1573, 2110,
1222 1860, 2139, 1634, 1634, 2110, 1641, 2142, 1645, 1645, 2144,
1223
1224 2110, 1448, 2112, 2112, 1529, 1529, 1529, 2112, 2112, 1529,
1225 1529, 2112, 2112, 1536, 2112, 1536, 2112, 2137, 1551, 1860,
1226 1860, 1860, 2145, 1634, 2110, 2145, 2145, 2146, 2142, 2144,
1227 1860, 2110, 1448, 2112, 2112, 1529, 2112, 2112, 1529, 1529,
1228 1529, 1529, 2112, 2112, 1536, 2112, 1536, 2112, 2137, 2145,
1229 2147, 2147, 2148, 2149, 2145, 2145, 2146, 2150, 2150, 2151,
1230 2151, 2151, 2152, 1860, 2112, 2112, 2112, 1529, 1529, 1529,
1231 1529, 2112, 2112, 2112, 2112, 1536, 1536, 2112, 1860, 2147,
1232 2147, 2148, 1782, 1782, 2153, 2153, 2154, 1860, 1860, 2110,
1233 2150, 2150, 2155, 2156, 2157, 2112, 2112, 1529, 1529, 2112,
1234
1235 2112, 1529, 1529, 2112, 2112, 2112, 2112, 1860, 2145, 1782,
1236 1634, 1786, 1786, 2154, 1814, 1814, 1814, 1814, 2110, 2145,
1237 2145, 2146, 2155, 2156, 1824, 1824, 2157, 2112, 2112, 2112,
1238 2112, 1529, 2112, 1860, 2147, 1782, 1634, 1814, 1814, 1860,
1239 2110, 2150, 2112, 2112, 2147, 1782, 1634, 2158, 1814, 2150,
1240 2112, 2112, 2159, 2159, 1814, 1814, 1814, 1854, 1854, 0,
1241 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1242 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1243 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1244 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1245
1246 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1247 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1248 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1249 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1250 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1251 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1252 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1253 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1254 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1255 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1256
1257 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1258 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1259 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1260 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1261 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1262 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1263 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1264 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1265 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1266 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1267
1268 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1269 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1270 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1271 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1272 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
1273 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860
1274 } ;
1275
1276static yyconst flex_int16_t yy_nxt[27311] =
1277 { 0,
1278 34, 35, 36, 37, 35, 34, 38, 34, 34, 34,
1279 34, 34, 34, 34, 39, 34, 40, 34, 34, 34,
1280 34, 164, 165, 165, 164, 165, 165, 165, 165, 220,
1281 252, 406, 171, 251, 166, 218, 219, 245, 166, 106,
1282 172, 173, 106, 42, 165, 165, 165, 165, 937, 182,
1283 191, 191, 182, 220, 252, 406, 251, 166, 218, 219,
1284 245, 1860, 166, 568, 107, 186, 34, 34, 43, 36,
1285 37, 43, 34, 38, 34, 34, 34, 34, 34, 34,
1286 34, 39, 34, 40, 34, 34, 34, 34, 107, 180,
1287 246, 259, 220, 44, 894, 182, 191, 191, 182, 197,
1288
1289 198, 198, 199, 221, 250, 222, 289, 291, 166, 744,
1290 42, 186, 180, 246, 259, 186, 220, 44, 164, 165,
1291 165, 164, 450, 1860, 829, 1860, 221, 250, 222, 289,
1292 291, 166, 337, 34, 34, 45, 46, 47, 48, 34,
1293 49, 34, 34, 34, 50, 50, 34, 34, 39, 50,
1294 51, 52, 34, 34, 34, 53, 54, 55, 54, 56,
1295 54, 57, 54, 54, 54, 54, 54, 54, 54, 54,
1296 58, 54, 54, 54, 54, 54, 54, 59, 60, 53,
1297 54, 55, 54, 56, 54, 57, 54, 54, 54, 54,
1298 54, 54, 54, 58, 54, 54, 54, 54, 54, 54,
1299
1300 34, 61, 62, 63, 64, 35, 568, 530, 35, 35,
1301 260, 171, 35, 1860, 261, 165, 165, 165, 165, 172,
1302 173, 65, 337, 66, 322, 67, 68, 69, 166, 70,
1303 41, 530, 71, 260, 41, 171, 72, 261, 73, 74,
1304 75, 569, 568, 172, 173, 65, 1071, 66, 171, 67,
1305 68, 69, 1071, 70, 41, 71, 172, 173, 41, 72,
1306 568, 73, 74, 75, 76, 77, 78, 79, 77, 76,
1307 80, 76, 76, 76, 76, 76, 76, 76, 81, 76,
1308 82, 76, 76, 76, 76, 197, 198, 198, 199, 338,
1309 568, 171, 381, 393, 185, 185, 394, 243, 568, 200,
1310
1311 201, 186, 217, 35, 244, 534, 35, 84, 197, 198,
1312 198, 199, 338, 171, 1860, 381, 393, 185, 185, 394,
1313 243, 172, 173, 734, 186, 217, 734, 244, 41, 534,
1314 76, 76, 85, 78, 79, 85, 76, 80, 76, 76,
1315 76, 76, 76, 76, 76, 86, 76, 82, 76, 76,
1316 76, 76, 41, 247, 256, 257, 342, 87, 322, 248,
1317 171, 215, 367, 569, 249, 377, 258, 171, 306, 307,
1318 178, 165, 165, 178, 84, 313, 314, 247, 256, 257,
1319 342, 87, 248, 166, 553, 215, 367, 249, 377, 258,
1320 265, 265, 265, 265, 287, 179, 1860, 76, 34, 35,
1321
1322 36, 37, 35, 34, 38, 34, 34, 34, 34, 34,
1323 34, 34, 39, 34, 88, 34, 34, 34, 34, 179,
1324 253, 215, 165, 165, 165, 165, 667, 281, 282, 282,
1325 281, 420, 366, 568, 221, 166, 254, 569, 661, 255,
1326 166, 90, 171, 450, 253, 215, 265, 265, 265, 265,
1327 172, 173, 171, 287, 420, 366, 1860, 221, 1860, 254,
1328 266, 267, 255, 1860, 34, 34, 43, 36, 37, 43,
1329 34, 38, 34, 34, 34, 34, 34, 34, 34, 39,
1330 34, 88, 34, 34, 34, 34, 180, 279, 215, 568,
1331 278, 91, 282, 282, 282, 282, 564, 450, 282, 282,
1332
1333 282, 282, 369, 370, 409, 166, 215, 439, 90, 180,
1334 279, 166, 215, 278, 327, 91, 292, 293, 293, 292,
1335 327, 327, 327, 174, 450, 369, 370, 327, 409, 166,
1336 215, 34, 92, 93, 94, 95, 93, 92, 96, 92,
1337 92, 92, 97, 98, 92, 92, 99, 92, 100, 92,
1338 101, 92, 92, 102, 102, 102, 102, 103, 102, 102,
1339 102, 104, 102, 102, 102, 102, 102, 102, 102, 102,
1340 102, 102, 102, 102, 102, 105, 102, 102, 102, 102,
1341 102, 103, 102, 102, 102, 104, 102, 102, 102, 102,
1342 102, 102, 102, 102, 102, 102, 102, 102, 92, 34,
1343
1344 108, 109, 110, 108, 34, 38, 34, 34, 34, 34,
1345 34, 34, 34, 39, 34, 111, 34, 34, 34, 34,
1346 293, 293, 293, 293, 113, 405, 215, 340, 192, 340,
1347 340, 192, 340, 166, 293, 293, 293, 293, 262, 165,
1348 165, 262, 42, 341, 195, 341, 1541, 166, 113, 405,
1349 215, 263, 1541, 437, 265, 265, 265, 265, 546, 528,
1350 1860, 1860, 1860, 179, 1860, 34, 34, 114, 109, 110,
1351 114, 34, 38, 34, 34, 34, 34, 34, 34, 34,
1352 39, 34, 111, 34, 34, 34, 34, 179, 272, 180,
1353 180, 113, 115, 526, 339, 411, 215, 164, 165, 165,
1354
1355 164, 165, 165, 165, 165, 290, 282, 282, 290, 42,
1356 166, 272, 180, 180, 166, 113, 115, 339, 166, 411,
1357 215, 265, 265, 265, 265, 349, 350, 350, 349, 448,
1358 179, 1860, 34, 34, 116, 117, 118, 116, 34, 38,
1359 34, 34, 34, 34, 34, 34, 34, 39, 34, 40,
1360 34, 34, 34, 34, 179, 165, 165, 165, 165, 180,
1361 351, 421, 342, 447, 165, 165, 165, 165, 166, 422,
1362 382, 432, 297, 293, 293, 297, 42, 166, 165, 165,
1363 165, 165, 180, 351, 421, 166, 342, 265, 265, 265,
1364 265, 166, 422, 382, 432, 1860, 1860, 298, 445, 34,
1365
1366 34, 120, 117, 118, 120, 34, 38, 34, 34, 34,
1367 34, 34, 34, 34, 39, 34, 40, 34, 34, 34,
1368 34, 298, 300, 304, 435, 342, 121, 1183, 322, 383,
1369 1183, 164, 165, 165, 164, 165, 165, 165, 165, 178,
1370 165, 165, 178, 42, 166, 300, 304, 435, 166, 342,
1371 121, 283, 166, 383, 418, 265, 265, 418, 439, 288,
1372 288, 1860, 1860, 437, 179, 287, 34, 122, 123, 124,
1373 125, 123, 122, 126, 122, 122, 122, 34, 34, 122,
1374 122, 127, 122, 128, 122, 34, 122, 122, 179, 312,
1375 319, 215, 130, 265, 265, 265, 529, 395, 182, 182,
1376
1377 182, 182, 197, 198, 198, 199, 178, 165, 165, 178,
1378 131, 166, 312, 319, 186, 215, 130, 428, 186, 166,
1379 428, 395, 663, 663, 663, 663, 532, 532, 532, 532,
1380 429, 179, 416, 122, 122, 132, 124, 125, 132, 122,
1381 126, 122, 122, 122, 34, 34, 122, 122, 127, 122,
1382 128, 122, 34, 122, 122, 179, 342, 342, 340, 130,
1383 133, 340, 182, 191, 191, 182, 344, 376, 345, 197,
1384 198, 198, 197, 438, 341, 166, 372, 131, 186, 372,
1385 342, 342, 569, 130, 133, 186, 171, 415, 356, 344,
1386 376, 345, 373, 1860, 306, 307, 438, 335, 287, 333,
1387
1388 122, 134, 135, 136, 137, 135, 134, 138, 134, 134,
1389 134, 34, 34, 134, 134, 139, 134, 140, 134, 34,
1390 134, 134, 198, 198, 198, 198, 142, 197, 198, 198,
1391 199, 197, 198, 198, 199, 440, 215, 1860, 186, 1860,
1392 185, 185, 413, 186, 143, 1860, 352, 186, 171, 170,
1393 142, 1860, 281, 282, 282, 281, 313, 314, 440, 170,
1394 215, 361, 362, 362, 363, 166, 413, 134, 134, 144,
1395 136, 137, 144, 134, 138, 134, 134, 134, 34, 34,
1396 134, 134, 139, 134, 140, 134, 34, 134, 134, 197,
1397 198, 198, 199, 142, 145, 171, 364, 449, 185, 185,
1398
1399 215, 215, 414, 200, 201, 186, 197, 198, 198, 199,
1400 400, 143, 1860, 170, 264, 185, 185, 142, 145, 364,
1401 449, 1860, 186, 170, 215, 215, 414, 663, 663, 663,
1402 663, 1860, 170, 400, 134, 34, 35, 36, 37, 35,
1403 34, 38, 34, 34, 34, 34, 34, 34, 34, 39,
1404 34, 146, 34, 34, 34, 34, 212, 198, 198, 212,
1405 212, 198, 198, 213, 215, 215, 215, 1860, 342, 456,
1406 410, 1183, 216, 489, 1183, 368, 216, 371, 148, 1860,
1407 265, 265, 265, 265, 282, 282, 282, 282, 215, 215,
1408 215, 342, 456, 1860, 410, 417, 489, 166, 368, 1860,
1409
1410 371, 34, 34, 43, 36, 37, 43, 34, 38, 34,
1411 34, 34, 34, 34, 34, 34, 39, 34, 146, 34,
1412 34, 34, 34, 342, 342, 342, 215, 215, 149, 1860,
1413 374, 215, 215, 441, 391, 482, 441, 375, 392, 403,
1414 342, 390, 396, 402, 404, 148, 442, 342, 342, 342,
1415 215, 215, 149, 374, 1860, 215, 215, 391, 1860, 482,
1416 375, 392, 403, 342, 390, 396, 402, 404, 34, 34,
1417 35, 36, 37, 35, 34, 38, 34, 34, 34, 34,
1418 34, 34, 34, 39, 34, 150, 34, 34, 34, 34,
1419 342, 215, 384, 215, 378, 479, 342, 215, 215, 1860,
1420
1421 379, 397, 398, 1860, 502, 380, 344, 401, 385, 407,
1422 340, 386, 152, 340, 342, 215, 384, 215, 378, 479,
1423 342, 215, 215, 379, 397, 398, 341, 502, 380, 344,
1424 401, 385, 407, 1860, 386, 34, 34, 43, 36, 37,
1425 43, 34, 38, 34, 34, 34, 34, 34, 34, 34,
1426 39, 34, 150, 34, 34, 34, 34, 342, 215, 367,
1427 387, 388, 153, 215, 556, 215, 580, 292, 293, 293,
1428 292, 487, 389, 342, 399, 206, 467, 408, 412, 152,
1429 166, 342, 215, 367, 387, 388, 153, 215, 556, 215,
1430 580, 1860, 1860, 1860, 487, 389, 342, 399, 206, 467,
1431
1432 408, 412, 34, 34, 35, 154, 155, 35, 34, 38,
1433 34, 34, 34, 156, 157, 34, 34, 39, 34, 40,
1434 34, 34, 34, 34, 265, 265, 265, 265, 484, 485,
1435 171, 503, 1860, 1860, 293, 293, 293, 293, 266, 267,
1436 1860, 1860, 262, 165, 165, 262, 42, 166, 1860, 1860,
1437 457, 484, 485, 457, 503, 263, 265, 265, 265, 265,
1438 1860, 1860, 424, 424, 424, 424, 458, 179, 1860, 34,
1439 34, 43, 154, 155, 43, 34, 38, 34, 34, 34,
1440 156, 157, 34, 34, 39, 34, 40, 34, 34, 34,
1441 34, 179, 419, 1860, 283, 342, 159, 1860, 425, 327,
1442
1443 483, 507, 288, 288, 1860, 327, 327, 327, 174, 552,
1444 423, 430, 327, 42, 1183, 419, 428, 1183, 342, 428,
1445 159, 425, 1860, 483, 507, 451, 350, 350, 451, 429,
1446 1339, 1860, 552, 1339, 423, 430, 34, 34, 35, 36,
1447 37, 35, 34, 160, 34, 34, 34, 34, 34, 34,
1448 34, 39, 34, 40, 34, 34, 34, 34, 1860, 1860,
1449 452, 342, 206, 206, 454, 455, 206, 206, 466, 441,
1450 468, 1860, 441, 1860, 626, 434, 436, 476, 215, 1860,
1451 162, 41, 442, 452, 342, 206, 206, 454, 455, 206,
1452 206, 466, 1860, 468, 451, 350, 350, 451, 626, 434,
1453
1454 436, 476, 215, 34, 34, 43, 36, 37, 43, 34,
1455 160, 34, 34, 34, 34, 34, 34, 34, 39, 34,
1456 40, 34, 34, 34, 34, 301, 302, 302, 301, 452,
1457 163, 1860, 342, 1860, 491, 206, 206, 469, 166, 470,
1458 342, 490, 581, 302, 302, 302, 302, 162, 41, 303,
1459 1860, 1860, 452, 1860, 163, 342, 166, 491, 206, 206,
1460 469, 1860, 470, 342, 490, 581, 1860, 303, 509, 215,
1461 34, 167, 1860, 303, 167, 167, 168, 167, 167, 167,
1462 167, 167, 167, 167, 167, 167, 167, 167, 167, 167,
1463 167, 303, 509, 215, 302, 302, 302, 302, 342, 1860,
1464
1465 342, 342, 499, 486, 488, 342, 494, 166, 283, 305,
1466 302, 302, 305, 167, 167, 627, 288, 288, 303, 1860,
1467 1860, 342, 166, 342, 342, 499, 486, 488, 342, 494,
1468 1860, 1860, 1860, 303, 179, 682, 167, 175, 431, 627,
1469 175, 175, 303, 175, 175, 175, 175, 175, 175, 175,
1470 175, 175, 175, 175, 175, 175, 175, 303, 179, 682,
1471 327, 431, 227, 240, 240, 227, 327, 327, 327, 174,
1472 622, 681, 215, 327, 1860, 166, 1860, 1860, 186, 177,
1473 175, 1860, 290, 282, 282, 290, 512, 241, 510, 1860,
1474 1860, 444, 1860, 622, 681, 166, 215, 242, 473, 474,
1475
1476 474, 475, 175, 181, 182, 182, 183, 179, 184, 512,
1477 215, 241, 185, 185, 444, 215, 166, 185, 185, 186,
1478 242, 1860, 1860, 215, 227, 240, 240, 227, 477, 188,
1479 1860, 179, 1860, 1860, 215, 1860, 1860, 166, 189, 215,
1480 186, 297, 293, 293, 297, 190, 185, 215, 1860, 241,
1481 1860, 477, 1860, 188, 166, 273, 274, 274, 273, 242,
1482 501, 189, 181, 182, 182, 183, 298, 184, 166, 342,
1483 1860, 185, 185, 241, 215, 166, 185, 185, 186, 275,
1484 1860, 1860, 242, 276, 501, 1860, 1860, 478, 188, 683,
1485 298, 1860, 342, 301, 302, 302, 301, 189, 215, 197,
1486
1487 198, 198, 199, 275, 190, 185, 166, 276, 185, 185,
1488 478, 1860, 188, 683, 352, 186, 1860, 303, 1860, 1860,
1489 189, 192, 1860, 768, 192, 167, 193, 167, 167, 167,
1490 167, 167, 194, 194, 194, 167, 194, 195, 167, 194,
1491 167, 303, 493, 274, 274, 274, 274, 768, 1860, 274,
1492 274, 274, 274, 342, 1860, 715, 166, 353, 354, 354,
1493 355, 1860, 166, 167, 194, 1860, 493, 277, 497, 788,
1494 496, 276, 492, 277, 1860, 342, 342, 276, 715, 206,
1495 500, 206, 1860, 342, 342, 342, 167, 203, 204, 204,
1496 205, 277, 497, 788, 496, 276, 492, 277, 342, 215,
1497
1498 207, 276, 508, 206, 500, 206, 342, 342, 342, 208,
1499 1860, 209, 511, 210, 809, 215, 265, 265, 265, 265,
1500 1860, 327, 211, 215, 1860, 1860, 508, 327, 327, 327,
1501 174, 417, 1860, 208, 327, 209, 511, 210, 809, 215,
1502 510, 353, 354, 354, 355, 211, 212, 198, 198, 213,
1503 332, 214, 572, 1860, 1860, 185, 185, 214, 214, 214,
1504 202, 342, 216, 206, 214, 206, 353, 354, 354, 355,
1505 342, 342, 504, 332, 495, 357, 572, 215, 302, 302,
1506 302, 302, 217, 1860, 342, 1860, 215, 206, 206, 206,
1507 359, 166, 1860, 342, 342, 1860, 504, 495, 357, 1860,
1508
1509 513, 215, 303, 544, 1860, 217, 212, 198, 198, 213,
1510 215, 214, 206, 1860, 359, 185, 185, 214, 214, 214,
1511 202, 1860, 216, 513, 214, 223, 303, 544, 223, 223,
1512 1860, 223, 223, 223, 223, 223, 223, 223, 223, 223,
1513 223, 223, 223, 223, 223, 498, 215, 1860, 1860, 353,
1514 354, 354, 355, 353, 354, 354, 355, 342, 628, 305,
1515 302, 302, 305, 227, 227, 227, 227, 225, 223, 498,
1516 215, 206, 166, 206, 1860, 206, 166, 206, 215, 186,
1517 342, 628, 358, 303, 179, 1860, 519, 360, 241, 1860,
1518 223, 226, 227, 227, 228, 206, 1860, 206, 242, 206,
1519
1520 516, 206, 215, 215, 1860, 358, 1860, 303, 179, 519,
1521 360, 229, 241, 230, 215, 231, 232, 233, 814, 234,
1522 215, 242, 235, 215, 516, 505, 236, 215, 237, 238,
1523 239, 1860, 656, 506, 1860, 229, 1860, 230, 215, 231,
1524 232, 233, 814, 234, 215, 235, 1860, 215, 505, 236,
1525 1860, 237, 238, 239, 167, 656, 506, 167, 167, 168,
1526 167, 167, 167, 167, 167, 167, 167, 167, 167, 167,
1527 167, 167, 167, 167, 215, 215, 215, 517, 215, 1860,
1528 1860, 515, 215, 575, 1860, 514, 518, 521, 215, 831,
1529 353, 354, 354, 353, 342, 520, 167, 167, 215, 215,
1530
1531 215, 517, 215, 207, 515, 1860, 215, 575, 514, 518,
1532 1860, 521, 215, 831, 1860, 1860, 461, 342, 520, 167,
1533 175, 1860, 1860, 175, 175, 462, 175, 175, 175, 175,
1534 175, 175, 175, 175, 175, 175, 175, 175, 175, 175,
1535 461, 215, 523, 524, 524, 525, 591, 206, 462, 1860,
1536 1860, 1860, 206, 1860, 522, 531, 532, 532, 531, 1860,
1537 1860, 594, 177, 175, 716, 215, 685, 215, 533, 685,
1538 591, 206, 554, 554, 554, 554, 206, 522, 1860, 686,
1539 283, 1860, 283, 551, 594, 175, 269, 716, 1860, 269,
1540 269, 215, 269, 269, 269, 269, 269, 269, 269, 269,
1541
1542 269, 269, 269, 269, 269, 269, 1860, 555, 227, 240,
1543 240, 227, 273, 274, 274, 273, 288, 215, 1860, 288,
1544 1860, 166, 1860, 1860, 186, 166, 1860, 604, 271, 269,
1545 555, 1860, 839, 241, 1860, 1860, 275, 1860, 1860, 288,
1546 276, 215, 288, 242, 342, 274, 274, 274, 274, 573,
1547 604, 269, 280, 274, 274, 280, 839, 241, 166, 570,
1548 275, 571, 724, 342, 276, 166, 242, 342, 574, 277,
1549 1860, 206, 573, 276, 590, 215, 275, 179, 595, 450,
1550 276, 327, 570, 1860, 571, 724, 342, 327, 327, 327,
1551 174, 574, 1860, 277, 327, 206, 1860, 276, 590, 215,
1552
1553 275, 179, 595, 1860, 276, 283, 283, 725, 283, 283,
1554 283, 283, 284, 283, 283, 283, 283, 283, 283, 283,
1555 285, 286, 283, 287, 283, 283, 215, 605, 215, 596,
1556 725, 215, 1860, 206, 629, 451, 350, 350, 451, 1860,
1557 657, 658, 658, 657, 1860, 1860, 592, 1860, 283, 1860,
1558 215, 605, 215, 596, 1860, 215, 216, 206, 629, 1860,
1559 1860, 354, 354, 354, 354, 424, 424, 424, 424, 592,
1560 452, 283, 283, 283, 207, 283, 283, 283, 283, 283,
1561 283, 283, 283, 283, 283, 283, 283, 461, 1860, 283,
1562 287, 283, 283, 452, 342, 1860, 462, 609, 206, 1860,
1563
1564 542, 543, 473, 474, 474, 473, 1860, 1860, 1860, 468,
1565 593, 461, 741, 709, 1860, 283, 709, 342, 216, 462,
1566 609, 1860, 206, 542, 543, 1860, 710, 1860, 353, 354,
1567 354, 355, 468, 593, 1860, 741, 1860, 597, 283, 295,
1568 295, 207, 295, 295, 295, 295, 295, 295, 295, 295,
1569 295, 295, 295, 295, 210, 1860, 295, 215, 295, 295,
1570 597, 767, 1860, 211, 474, 474, 474, 474, 599, 473,
1571 474, 474, 475, 658, 658, 658, 658, 342, 210, 610,
1572 186, 215, 295, 1860, 767, 216, 211, 1860, 1860, 186,
1573 713, 599, 1860, 713, 1860, 583, 584, 583, 583, 597,
1574
1575 342, 1860, 610, 714, 598, 295, 295, 295, 585, 295,
1576 295, 295, 295, 295, 295, 295, 295, 295, 295, 295,
1577 295, 586, 597, 295, 608, 295, 295, 598, 342, 696,
1578 587, 697, 611, 612, 613, 614, 1860, 342, 342, 342,
1579 799, 299, 617, 342, 618, 586, 342, 342, 608, 295,
1580 1860, 342, 696, 587, 697, 611, 1860, 612, 613, 614,
1581 342, 342, 342, 799, 299, 617, 342, 618, 1860, 342,
1582 342, 1860, 295, 309, 646, 215, 309, 309, 1860, 309,
1583 309, 309, 309, 309, 309, 309, 309, 309, 309, 309,
1584 309, 309, 309, 1860, 342, 342, 619, 620, 646, 215,
1585
1586 615, 616, 342, 342, 800, 621, 342, 342, 623, 353,
1587 354, 354, 355, 674, 805, 311, 309, 342, 342, 1860,
1588 619, 620, 207, 615, 616, 342, 342, 800, 621, 342,
1589 342, 623, 1860, 1860, 1860, 210, 674, 805, 309, 316,
1590 1860, 808, 316, 316, 588, 316, 316, 316, 316, 316,
1591 316, 316, 316, 316, 316, 316, 316, 316, 316, 210,
1592 624, 215, 1860, 342, 808, 215, 215, 588, 625, 342,
1593 630, 600, 601, 601, 602, 1860, 1860, 1860, 631, 632,
1594 603, 318, 316, 1860, 624, 215, 342, 1860, 1860, 215,
1595 215, 625, 342, 630, 1860, 1860, 215, 523, 524, 524,
1596
1597 525, 631, 632, 1860, 316, 320, 320, 320, 320, 320,
1598 320, 320, 320, 320, 320, 320, 320, 320, 320, 320,
1599 215, 215, 320, 322, 320, 320, 215, 644, 215, 1860,
1600 342, 215, 215, 1860, 641, 770, 642, 206, 633, 634,
1601 634, 635, 643, 653, 215, 215, 1860, 731, 320, 569,
1602 215, 644, 215, 342, 569, 215, 215, 641, 770, 642,
1603 463, 206, 569, 215, 645, 643, 1860, 653, 215, 215,
1604 731, 320, 320, 320, 320, 320, 320, 320, 320, 320,
1605 320, 320, 320, 320, 320, 320, 320, 215, 645, 320,
1606 322, 320, 320, 215, 654, 657, 658, 658, 659, 215,
1607
1608 206, 215, 1860, 531, 532, 532, 531, 323, 732, 810,
1609 815, 216, 1860, 1860, 1860, 320, 533, 655, 654, 1324,
1610 1324, 1324, 1324, 215, 206, 215, 554, 554, 554, 554,
1611 323, 732, 810, 815, 647, 648, 648, 649, 320, 167,
1612 655, 1860, 167, 167, 324, 167, 167, 167, 167, 167,
1613 325, 325, 325, 167, 325, 167, 167, 325, 167, 650,
1614 1860, 695, 651, 672, 672, 672, 672, 1860, 676, 652,
1615 677, 704, 685, 674, 702, 685, 633, 634, 634, 635,
1616 537, 167, 325, 650, 695, 686, 651, 633, 634, 634,
1617 633, 676, 652, 677, 704, 1860, 674, 702, 1860, 1860,
1618
1619 1860, 215, 1860, 216, 167, 329, 1860, 1860, 329, 329,
1620 1860, 329, 329, 329, 329, 329, 329, 329, 329, 329,
1621 329, 329, 329, 329, 329, 215, 206, 664, 664, 664,
1622 664, 738, 668, 668, 668, 668, 737, 206, 1860, 547,
1623 548, 547, 547, 1860, 537, 820, 1860, 331, 329, 537,
1624 206, 1860, 665, 769, 1860, 738, 287, 669, 215, 737,
1625 821, 206, 666, 548, 548, 548, 548, 670, 820, 541,
1626 329, 203, 204, 204, 205, 687, 665, 769, 688, 1860,
1627 1860, 669, 215, 821, 207, 666, 700, 700, 700, 700,
1628 670, 1860, 215, 208, 743, 209, 1860, 210, 687, 689,
1629
1630 879, 688, 690, 559, 215, 1860, 211, 634, 634, 634,
1631 634, 600, 601, 601, 600, 746, 215, 208, 743, 209,
1632 744, 210, 689, 186, 879, 690, 1860, 216, 215, 211,
1633 212, 198, 198, 213, 685, 214, 1860, 685, 746, 185,
1634 185, 214, 214, 214, 185, 1860, 216, 686, 214, 212,
1635 198, 198, 213, 685, 214, 1860, 685, 1860, 185, 185,
1636 214, 214, 214, 185, 215, 216, 811, 214, 212, 198,
1637 198, 213, 745, 343, 1860, 1860, 1860, 185, 601, 601,
1638 601, 601, 1860, 600, 601, 601, 602, 744, 215, 1860,
1639 1860, 1860, 603, 287, 186, 745, 1860, 343, 346, 216,
1640
1641 812, 346, 346, 718, 346, 346, 346, 346, 346, 346,
1642 346, 346, 346, 346, 346, 346, 346, 346, 212, 198,
1643 198, 213, 771, 215, 812, 785, 718, 185, 215, 197,
1644 198, 198, 199, 880, 197, 198, 198, 199, 1860, 1860,
1645 348, 346, 719, 603, 1860, 186, 771, 215, 1860, 785,
1646 186, 1860, 215, 212, 198, 198, 213, 880, 584, 584,
1647 584, 584, 185, 346, 192, 742, 719, 192, 167, 193,
1648 167, 167, 167, 167, 167, 194, 194, 194, 167, 194,
1649 195, 167, 194, 167, 461, 1860, 1860, 1860, 742, 709,
1650 749, 215, 709, 462, 212, 198, 198, 213, 633, 634,
1651
1652 634, 635, 710, 185, 249, 1860, 167, 194, 461, 633,
1653 634, 634, 635, 749, 216, 215, 462, 1860, 185, 1860,
1654 1860, 287, 212, 198, 198, 213, 1860, 249, 751, 167,
1655 192, 185, 924, 192, 167, 193, 167, 167, 167, 167,
1656 167, 194, 194, 194, 167, 194, 195, 167, 194, 167,
1657 750, 751, 535, 535, 535, 535, 924, 1860, 557, 557,
1658 557, 557, 212, 198, 198, 213, 786, 1860, 215, 537,
1659 1860, 185, 167, 194, 750, 559, 1860, 665, 583, 584,
1660 583, 583, 757, 698, 1860, 1860, 1860, 671, 215, 1860,
1661 786, 585, 215, 699, 541, 167, 203, 204, 204, 205,
1662
1663 563, 665, 1860, 772, 586, 215, 757, 698, 287, 207,
1664 671, 1860, 215, 587, 709, 787, 699, 709, 208, 215,
1665 209, 925, 210, 1860, 813, 251, 772, 710, 586, 215,
1666 1860, 211, 212, 198, 198, 213, 587, 926, 787, 702,
1667 825, 185, 208, 215, 209, 925, 210, 813, 251, 830,
1668 733, 734, 734, 735, 211, 212, 198, 198, 213, 1860,
1669 214, 926, 702, 825, 185, 185, 214, 214, 214, 202,
1670 752, 216, 830, 214, 212, 198, 198, 213, 206, 214,
1671 1860, 1860, 736, 185, 185, 214, 214, 214, 202, 1860,
1672 216, 1860, 214, 752, 215, 1860, 365, 633, 634, 634,
1673
1674 635, 709, 206, 795, 709, 736, 212, 198, 198, 213,
1675 212, 198, 198, 213, 828, 185, 1860, 287, 215, 185,
1676 365, 223, 923, 215, 223, 223, 795, 223, 223, 223,
1677 223, 223, 223, 223, 223, 223, 223, 223, 223, 223,
1678 223, 1860, 793, 1860, 753, 215, 923, 215, 754, 636,
1679 637, 637, 636, 212, 198, 198, 213, 583, 584, 583,
1680 583, 840, 185, 225, 223, 640, 793, 753, 1860, 215,
1681 585, 754, 633, 634, 634, 635, 212, 198, 198, 213,
1682 1860, 185, 1860, 586, 840, 185, 223, 223, 1860, 756,
1683 223, 223, 587, 223, 223, 223, 223, 223, 223, 223,
1684
1685 223, 223, 223, 223, 223, 223, 223, 586, 557, 557,
1686 557, 557, 756, 713, 1860, 587, 713, 765, 859, 212,
1687 198, 198, 213, 1860, 1860, 559, 714, 1860, 185, 225,
1688 223, 1860, 1860, 698, 873, 583, 584, 583, 583, 758,
1689 765, 859, 342, 699, 637, 637, 637, 637, 726, 818,
1690 563, 819, 223, 226, 227, 227, 228, 698, 873, 215,
1691 773, 586, 1860, 758, 794, 342, 699, 1860, 1860, 1860,
1692 587, 1860, 818, 229, 819, 230, 215, 231, 232, 233,
1693 932, 234, 852, 215, 235, 586, 792, 794, 236, 255,
1694 237, 238, 239, 587, 674, 206, 1860, 229, 804, 230,
1695
1696 215, 231, 232, 233, 932, 234, 852, 235, 1860, 792,
1697 1860, 236, 255, 237, 238, 239, 269, 674, 206, 269,
1698 269, 804, 269, 269, 269, 269, 269, 269, 269, 269,
1699 269, 269, 269, 269, 269, 269, 866, 1860, 881, 212,
1700 198, 198, 213, 1860, 212, 198, 198, 213, 185, 342,
1701 1860, 1860, 1860, 185, 657, 658, 658, 657, 271, 269,
1702 866, 881, 1860, 759, 212, 198, 198, 213, 1860, 1860,
1703 216, 1860, 342, 185, 212, 198, 198, 213, 1860, 755,
1704 1860, 269, 269, 185, 764, 269, 269, 759, 269, 269,
1705 269, 269, 269, 269, 269, 269, 269, 269, 269, 269,
1706
1707 269, 269, 755, 882, 212, 198, 198, 213, 764, 789,
1708 1860, 766, 215, 185, 633, 634, 634, 635, 215, 647,
1709 648, 648, 647, 746, 271, 269, 1860, 882, 763, 633,
1710 634, 634, 635, 789, 766, 216, 215, 1860, 1860, 342,
1711 215, 215, 834, 903, 241, 939, 746, 269, 280, 274,
1712 274, 280, 763, 1860, 242, 215, 876, 672, 672, 672,
1713 672, 166, 342, 1860, 215, 834, 903, 342, 241, 939,
1714 1860, 854, 275, 179, 537, 1860, 276, 242, 685, 215,
1715 876, 685, 658, 658, 658, 658, 657, 658, 658, 659,
1716 342, 811, 206, 798, 913, 854, 275, 179, 186, 855,
1717
1718 276, 426, 216, 426, 426, 206, 287, 867, 342, 426,
1719 426, 426, 426, 426, 426, 206, 798, 913, 346, 1860,
1720 1860, 1322, 855, 1860, 672, 672, 672, 672, 206, 1860,
1721 867, 342, 426, 426, 426, 426, 426, 426, 426, 283,
1722 283, 537, 283, 283, 283, 283, 283, 283, 283, 283,
1723 283, 283, 283, 283, 1860, 427, 283, 287, 283, 283,
1724 856, 1860, 1860, 797, 672, 672, 672, 672, 672, 672,
1725 672, 672, 806, 672, 672, 806, 863, 206, 215, 342,
1726 878, 537, 283, 1860, 856, 537, 797, 1860, 1860, 807,
1727 1860, 700, 700, 700, 700, 1486, 1486, 1486, 1486, 863,
1728
1729 206, 215, 342, 878, 914, 283, 283, 283, 559, 283,
1730 283, 283, 283, 284, 283, 283, 283, 283, 283, 283,
1731 283, 285, 286, 283, 287, 283, 283, 914, 920, 1860,
1732 822, 700, 700, 700, 700, 700, 700, 700, 700, 921,
1733 648, 648, 648, 648, 700, 700, 700, 700, 559, 283,
1734 1860, 920, 559, 822, 709, 428, 186, 709, 428, 1860,
1735 1860, 559, 921, 1860, 960, 241, 1860, 828, 429, 1860,
1736 1860, 823, 283, 295, 295, 242, 295, 295, 295, 295,
1737 295, 295, 295, 295, 295, 295, 295, 295, 960, 241,
1738 295, 862, 295, 295, 823, 861, 1860, 215, 242, 922,
1739
1740 826, 700, 700, 826, 1860, 1860, 1009, 647, 648, 648,
1741 649, 342, 215, 872, 862, 929, 295, 827, 1860, 861,
1742 215, 1860, 922, 216, 1860, 1860, 733, 734, 734, 735,
1743 1009, 1860, 790, 1860, 342, 215, 872, 1860, 929, 295,
1744 295, 295, 791, 295, 295, 295, 295, 295, 295, 295,
1745 295, 295, 295, 295, 295, 868, 790, 295, 736, 295,
1746 295, 342, 1860, 1860, 382, 791, 342, 206, 869, 342,
1747 197, 198, 198, 199, 1860, 871, 1860, 433, 215, 868,
1748 901, 736, 930, 295, 342, 342, 186, 382, 1860, 342,
1749 206, 869, 342, 1860, 1860, 672, 672, 672, 672, 871,
1750
1751 433, 215, 1860, 901, 860, 930, 295, 309, 342, 931,
1752 309, 309, 537, 309, 309, 309, 309, 309, 309, 309,
1753 309, 309, 309, 309, 309, 309, 309, 860, 883, 801,
1754 938, 802, 931, 1860, 215, 870, 1010, 633, 634, 634,
1755 635, 342, 1860, 353, 354, 354, 355, 947, 1860, 311,
1756 309, 883, 801, 938, 802, 1860, 207, 215, 1860, 870,
1757 1010, 1860, 1860, 1860, 342, 633, 634, 634, 635, 850,
1758 947, 342, 309, 309, 1860, 1860, 309, 309, 211, 309,
1759 309, 309, 309, 309, 309, 309, 309, 309, 309, 309,
1760 309, 309, 309, 850, 342, 874, 1860, 342, 342, 342,
1761
1762 875, 211, 380, 386, 877, 342, 342, 342, 942, 834,
1763 633, 634, 634, 635, 966, 311, 309, 941, 342, 874,
1764 342, 342, 342, 875, 1860, 380, 386, 877, 342, 342,
1765 342, 942, 834, 884, 885, 885, 886, 966, 309, 316,
1766 941, 342, 316, 316, 342, 316, 316, 316, 316, 316,
1767 316, 316, 316, 316, 316, 316, 316, 316, 316, 774,
1768 637, 637, 774, 900, 902, 1860, 906, 342, 215, 910,
1769 1860, 215, 215, 977, 342, 773, 1860, 1860, 1860, 215,
1770 965, 318, 316, 215, 215, 1860, 900, 978, 902, 906,
1771 1860, 215, 1860, 910, 215, 215, 977, 342, 907, 908,
1772
1773 908, 909, 215, 965, 316, 316, 215, 215, 316, 316,
1774 978, 316, 316, 316, 316, 316, 316, 316, 316, 316,
1775 316, 316, 316, 316, 316, 197, 198, 198, 199, 672,
1776 672, 672, 672, 197, 198, 198, 199, 215, 342, 342,
1777 1860, 186, 982, 975, 981, 984, 537, 318, 316, 186,
1778 1163, 1163, 1163, 1163, 633, 634, 634, 635, 1860, 1164,
1779 215, 342, 342, 912, 904, 982, 975, 981, 984, 905,
1780 316, 320, 320, 320, 320, 320, 320, 320, 320, 320,
1781 320, 320, 320, 320, 320, 320, 912, 904, 320, 322,
1782 320, 320, 905, 215, 919, 1860, 206, 940, 672, 672,
1783
1784 672, 672, 672, 672, 672, 672, 985, 961, 1860, 342,
1785 674, 206, 1017, 1860, 320, 537, 215, 1860, 919, 537,
1786 206, 940, 1676, 1676, 1676, 1676, 1860, 1860, 1860, 985,
1787 961, 911, 342, 674, 206, 1017, 916, 320, 320, 320,
1788 320, 320, 320, 320, 320, 320, 320, 320, 320, 320,
1789 320, 320, 320, 1860, 911, 320, 322, 320, 320, 916,
1790 959, 206, 206, 1860, 1016, 672, 672, 672, 672, 1860,
1791 700, 700, 700, 700, 963, 443, 206, 206, 1860, 1860,
1792 1860, 320, 537, 1018, 959, 206, 206, 559, 1016, 1860,
1793 1860, 1860, 600, 601, 601, 602, 1860, 963, 443, 206,
1794
1795 206, 603, 915, 933, 320, 167, 1026, 1018, 167, 167,
1796 324, 167, 167, 167, 167, 167, 325, 325, 325, 167,
1797 325, 167, 167, 325, 167, 915, 933, 1860, 976, 206,
1798 1026, 215, 1021, 964, 700, 700, 700, 700, 342, 962,
1799 948, 948, 948, 948, 206, 1860, 1022, 167, 325, 1860,
1800 215, 559, 976, 206, 215, 1021, 1860, 964, 1860, 1860,
1801 1860, 342, 962, 1685, 1686, 1686, 1687, 206, 934, 1022,
1802 167, 167, 853, 215, 167, 167, 324, 167, 167, 167,
1803 167, 167, 325, 325, 325, 167, 325, 167, 167, 325,
1804 167, 934, 342, 1028, 980, 853, 1025, 968, 1860, 979,
1805
1806 197, 198, 198, 199, 342, 986, 215, 583, 584, 583,
1807 583, 1027, 215, 167, 325, 342, 186, 1028, 980, 1025,
1808 585, 968, 979, 969, 970, 970, 971, 342, 986, 215,
1809 1860, 1860, 972, 586, 1027, 215, 167, 329, 967, 1040,
1810 329, 329, 587, 329, 329, 329, 329, 329, 329, 329,
1811 329, 329, 329, 329, 329, 329, 329, 586, 1860, 1033,
1812 987, 967, 215, 1040, 1034, 587, 215, 884, 885, 885,
1813 886, 983, 1067, 583, 584, 583, 583, 1039, 1860, 331,
1814 329, 342, 1033, 987, 1860, 215, 585, 1034, 1860, 215,
1815 1860, 907, 908, 908, 909, 983, 1067, 1860, 1860, 586,
1816
1817 1039, 342, 329, 329, 342, 1860, 329, 329, 587, 329,
1818 329, 329, 329, 329, 329, 329, 329, 329, 329, 329,
1819 329, 329, 329, 586, 342, 342, 668, 668, 668, 668,
1820 1058, 587, 988, 215, 884, 885, 885, 884, 1069, 633,
1821 634, 634, 635, 537, 1860, 331, 329, 1860, 342, 1860,
1822 216, 669, 1015, 1058, 215, 988, 215, 1676, 1676, 1676,
1823 1676, 670, 1069, 674, 523, 524, 524, 525, 329, 212,
1824 198, 198, 213, 342, 214, 669, 1015, 215, 185, 185,
1825 214, 214, 214, 185, 670, 216, 674, 214, 212, 198,
1826 198, 213, 1860, 214, 1860, 1860, 342, 185, 185, 214,
1827
1828 214, 214, 185, 215, 216, 1860, 214, 885, 885, 885,
1829 885, 884, 885, 885, 886, 583, 584, 583, 583, 1082,
1830 1038, 453, 1860, 186, 169, 287, 215, 216, 585, 884,
1831 885, 885, 886, 1750, 1750, 1750, 1750, 1754, 1750, 1750,
1832 1754, 586, 1082, 1038, 453, 346, 1020, 169, 346, 346,
1833 587, 346, 346, 346, 346, 346, 346, 346, 346, 346,
1834 346, 346, 346, 346, 346, 586, 1000, 1001, 215, 1020,
1835 215, 1860, 215, 587, 1860, 1860, 197, 198, 198, 199,
1836 1083, 953, 954, 954, 955, 1087, 1860, 348, 346, 1000,
1837 1001, 215, 186, 215, 207, 215, 907, 908, 908, 907,
1838
1839 1860, 1860, 1860, 1083, 1860, 1860, 1860, 957, 1087, 1002,
1840 346, 346, 216, 1086, 346, 346, 958, 346, 346, 346,
1841 346, 346, 346, 346, 346, 346, 346, 346, 346, 346,
1842 346, 957, 1002, 1004, 1035, 287, 1005, 1086, 1860, 958,
1843 197, 198, 198, 199, 342, 347, 1107, 1037, 1860, 1111,
1844 215, 1860, 1019, 348, 346, 1860, 186, 1004, 1035, 1005,
1845 633, 634, 634, 635, 1860, 1860, 1860, 342, 1860, 347,
1846 1107, 1037, 1111, 215, 1003, 1019, 346, 349, 350, 350,
1847 349, 167, 168, 167, 167, 167, 167, 167, 167, 167,
1848 167, 167, 167, 167, 167, 167, 167, 1003, 1123, 215,
1849
1850 908, 908, 908, 908, 956, 347, 1860, 1860, 969, 970,
1851 970, 1070, 351, 342, 1057, 1080, 186, 1071, 1036, 167,
1852 167, 1123, 215, 1860, 216, 1860, 1860, 1860, 956, 347,
1853 600, 601, 601, 602, 1860, 351, 342, 1057, 1080, 603,
1854 1005, 1036, 167, 167, 1860, 1860, 167, 167, 168, 167,
1855 167, 167, 167, 167, 167, 167, 167, 167, 167, 167,
1856 167, 167, 167, 1005, 342, 907, 908, 908, 909, 1860,
1857 1860, 664, 664, 664, 664, 1065, 215, 459, 1860, 460,
1858 342, 216, 1860, 1860, 1076, 167, 167, 342, 537, 1860,
1859 1860, 224, 891, 892, 892, 893, 665, 1860, 1065, 215,
1860
1861 459, 1074, 460, 342, 1860, 1006, 666, 1076, 167, 353,
1862 354, 354, 355, 541, 779, 224, 779, 1860, 1101, 1112,
1863 665, 1102, 207, 1119, 1074, 1124, 1860, 890, 1006, 666,
1864 1066, 891, 892, 892, 893, 210, 1860, 1860, 779, 1860,
1865 779, 464, 465, 1112, 211, 1860, 1860, 1119, 1124, 215,
1866 890, 1077, 342, 779, 1066, 897, 1860, 215, 1860, 210,
1867 891, 892, 892, 893, 464, 465, 890, 211, 361, 362,
1868 362, 363, 215, 1860, 1077, 342, 1860, 779, 1860, 897,
1869 215, 207, 779, 1860, 779, 891, 892, 892, 893, 890,
1870 208, 1120, 209, 1130, 210, 970, 970, 970, 1072, 891,
1871
1872 892, 892, 891, 364, 1071, 1860, 779, 779, 779, 779,
1873 1860, 186, 780, 1860, 208, 1120, 209, 1130, 210, 989,
1874 1860, 1129, 1860, 1079, 342, 990, 364, 212, 198, 198,
1875 213, 779, 214, 779, 991, 1860, 185, 185, 214, 214,
1876 214, 202, 989, 216, 1129, 214, 1079, 342, 1860, 990,
1877 892, 892, 892, 892, 471, 1860, 1860, 991, 672, 672,
1878 672, 672, 1147, 780, 969, 970, 970, 971, 1860, 948,
1879 948, 948, 948, 972, 1860, 537, 990, 1860, 471, 212,
1880 198, 198, 213, 287, 214, 991, 1147, 1860, 185, 185,
1881 214, 214, 214, 202, 956, 216, 1011, 214, 342, 1131,
1882
1883 990, 853, 1121, 1172, 472, 1860, 1860, 1860, 991, 672,
1884 672, 672, 672, 1860, 969, 970, 970, 971, 956, 1011,
1885 1860, 342, 1131, 972, 853, 1121, 537, 1172, 472, 372,
1886 216, 1007, 372, 223, 1860, 223, 223, 223, 223, 223,
1887 223, 223, 223, 223, 223, 373, 223, 223, 223, 206,
1888 206, 206, 1860, 342, 206, 1007, 1132, 1078, 1045, 1137,
1889 1063, 1138, 1046, 468, 206, 206, 206, 1064, 206, 206,
1890 1170, 225, 223, 206, 206, 206, 342, 1185, 206, 1132,
1891 1078, 1045, 1137, 1063, 1138, 1046, 468, 206, 206, 206,
1892 1064, 206, 206, 1170, 223, 480, 350, 350, 480, 223,
1893
1894 1185, 223, 223, 223, 223, 223, 223, 223, 223, 223,
1895 223, 223, 223, 223, 223, 1860, 224, 1125, 197, 198,
1896 198, 199, 1860, 197, 198, 198, 1073, 1860, 1860, 1075,
1897 481, 288, 972, 1184, 186, 1085, 215, 225, 223, 186,
1898 224, 1125, 1068, 1860, 633, 634, 634, 635, 523, 524,
1899 524, 525, 1075, 481, 288, 1860, 1860, 1184, 1085, 215,
1900 223, 418, 265, 265, 418, 269, 1068, 269, 269, 269,
1901 269, 269, 269, 269, 269, 269, 269, 269, 269, 269,
1902 269, 1860, 342, 215, 1206, 891, 892, 892, 893, 891,
1903 892, 892, 893, 672, 672, 672, 672, 672, 672, 672,
1904
1905 672, 1860, 1860, 271, 269, 342, 215, 779, 1206, 779,
1906 537, 779, 1081, 779, 537, 1008, 1860, 1860, 1860, 1012,
1907 1860, 1860, 996, 995, 342, 1084, 269, 535, 535, 535,
1908 535, 779, 536, 779, 1860, 779, 1081, 779, 1860, 1008,
1909 215, 174, 174, 1012, 537, 996, 995, 342, 1860, 1084,
1910 1860, 1860, 539, 1207, 1115, 287, 1186, 884, 885, 885,
1911 886, 674, 540, 215, 1860, 891, 892, 892, 893, 541,
1912 174, 700, 700, 700, 700, 1122, 539, 1207, 1115, 1186,
1913 1860, 1860, 1136, 702, 674, 540, 545, 779, 559, 779,
1914 1860, 342, 426, 1029, 426, 426, 1860, 287, 1122, 999,
1915
1916 426, 426, 426, 426, 426, 426, 1136, 702, 1860, 1202,
1917 1860, 779, 1860, 779, 342, 1860, 1860, 1029, 633, 634,
1918 634, 635, 999, 426, 426, 426, 426, 426, 426, 426,
1919 283, 283, 1202, 283, 283, 283, 283, 283, 283, 283,
1920 283, 283, 283, 283, 283, 1860, 427, 283, 287, 283,
1921 283, 1860, 342, 1116, 674, 1103, 1106, 672, 672, 672,
1922 672, 702, 1860, 1171, 215, 1135, 700, 700, 700, 700,
1923 215, 215, 1860, 283, 537, 342, 1116, 674, 1860, 1103,
1924 1106, 1860, 1860, 559, 1860, 702, 1171, 215, 1030, 1135,
1925 1214, 1860, 1860, 215, 215, 1109, 283, 283, 547, 548,
1926
1927 547, 547, 283, 283, 283, 283, 283, 283, 283, 283,
1928 283, 283, 1030, 1214, 283, 287, 283, 283, 1109, 969,
1929 970, 970, 1070, 347, 672, 672, 672, 672, 1071, 1221,
1930 970, 970, 970, 1072, 549, 216, 1860, 550, 1141, 1071,
1931 283, 537, 197, 198, 198, 199, 186, 347, 1174, 1175,
1932 1175, 1176, 1221, 953, 954, 954, 1047, 549, 186, 1113,
1933 550, 1141, 1108, 283, 295, 295, 207, 295, 553, 295,
1934 295, 295, 295, 295, 295, 295, 295, 295, 295, 1049,
1935 1216, 295, 1113, 295, 295, 1222, 1108, 215, 1050, 1051,
1936 954, 954, 1052, 953, 954, 954, 955, 700, 700, 700,
1937
1938 700, 1860, 207, 1049, 1216, 1142, 207, 295, 1222, 1860,
1939 215, 1050, 1860, 1860, 559, 1049, 1860, 1860, 169, 957,
1940 733, 734, 734, 735, 1050, 347, 1117, 1860, 958, 1142,
1941 295, 557, 557, 557, 557, 1133, 558, 1140, 674, 1049,
1942 1118, 169, 1860, 957, 206, 174, 174, 1050, 559, 347,
1943 1117, 958, 736, 1139, 1860, 1048, 561, 1229, 1133, 206,
1944 1140, 674, 1048, 1118, 342, 1158, 562, 1860, 206, 197,
1945 198, 198, 1073, 563, 174, 736, 1860, 1139, 972, 1048,
1946 561, 1229, 206, 1223, 1860, 186, 1048, 342, 1158, 562,
1947 320, 320, 320, 320, 565, 320, 320, 320, 320, 320,
1948
1949 320, 320, 320, 320, 320, 1860, 1223, 320, 322, 320,
1950 320, 1224, 1779, 1779, 1779, 1779, 1860, 956, 1126, 1127,
1951 1126, 1126, 224, 1860, 1210, 674, 1161, 1053, 1054, 1054,
1952 1055, 1177, 1860, 320, 1224, 1128, 197, 198, 198, 199,
1953 207, 956, 1143, 1144, 1144, 1145, 224, 1210, 674, 1161,
1954 1860, 1146, 186, 957, 1177, 288, 320, 457, 1104, 1860,
1955 457, 346, 958, 346, 346, 346, 346, 346, 346, 346,
1956 346, 346, 346, 458, 346, 346, 346, 957, 288, 169,
1957 956, 1179, 1104, 206, 1241, 958, 342, 1245, 1860, 1246,
1958 1180, 342, 1162, 1089, 1090, 1089, 1089, 1150, 206, 348,
1959
1960 346, 1860, 169, 1860, 956, 1179, 1091, 206, 1241, 342,
1961 582, 1245, 1246, 1180, 342, 1162, 1860, 1860, 1860, 1092,
1962 1150, 206, 346, 576, 350, 350, 576, 346, 1093, 346,
1963 346, 346, 346, 346, 346, 346, 346, 346, 346, 346,
1964 346, 346, 346, 1092, 206, 197, 198, 198, 199, 1165,
1965 1860, 1093, 1860, 1101, 198, 198, 1101, 1255, 577, 206,
1966 1860, 186, 1860, 1860, 206, 348, 346, 1105, 206, 216,
1967 1860, 1860, 1860, 1165, 633, 634, 634, 635, 1860, 1860,
1968 1255, 577, 206, 1043, 1043, 1043, 1043, 206, 346, 167,
1969 1860, 1105, 167, 167, 168, 167, 167, 167, 167, 167,
1970
1971 167, 167, 167, 167, 167, 167, 167, 167, 167, 461,
1972 224, 1860, 1211, 215, 674, 853, 1048, 1860, 462, 633,
1973 634, 634, 635, 1178, 1267, 1243, 578, 672, 672, 672,
1974 672, 167, 167, 461, 224, 1211, 215, 674, 853, 1860,
1975 1048, 462, 1860, 1860, 537, 1860, 1178, 1267, 1243, 578,
1976 1860, 1110, 1860, 342, 167, 167, 1860, 1860, 167, 167,
1977 168, 167, 167, 167, 167, 167, 167, 167, 167, 167,
1978 167, 167, 167, 167, 167, 1110, 342, 1860, 1048, 891,
1979 892, 892, 893, 891, 892, 892, 893, 1290, 1860, 579,
1980 1244, 1860, 672, 672, 672, 672, 1101, 167, 167, 1102,
1981
1982 287, 779, 1048, 779, 1181, 779, 1215, 779, 1101, 537,
1983 1290, 1102, 579, 1244, 342, 997, 1114, 1860, 1094, 1860,
1984 167, 353, 354, 354, 355, 779, 1182, 779, 1181, 779,
1985 1215, 779, 1860, 789, 207, 215, 342, 342, 997, 1860,
1986 1114, 1094, 342, 674, 1291, 1213, 589, 210, 1860, 215,
1987 1182, 1247, 700, 700, 700, 700, 211, 789, 215, 342,
1988 1860, 1101, 198, 198, 1102, 342, 674, 1291, 1213, 559,
1989 589, 210, 215, 1860, 1860, 1247, 1134, 216, 1262, 211,
1990 480, 350, 350, 480, 223, 1266, 223, 223, 223, 223,
1991 223, 223, 223, 223, 223, 223, 223, 223, 223, 223,
1992
1993 1134, 1151, 1262, 674, 702, 206, 1860, 1212, 1860, 1266,
1994 1166, 1167, 1167, 1168, 1227, 481, 1860, 1860, 1860, 1169,
1995 206, 1860, 225, 223, 1860, 1151, 674, 1286, 702, 206,
1996 1212, 884, 885, 885, 886, 1860, 1860, 1227, 481, 1174,
1997 1175, 1175, 1176, 206, 206, 223, 223, 1860, 1860, 223,
1998 223, 1286, 223, 223, 223, 223, 223, 223, 223, 223,
1999 223, 223, 223, 223, 223, 223, 702, 206, 1253, 1860,
2000 215, 215, 1294, 342, 674, 1860, 197, 198, 198, 199,
2001 606, 1228, 607, 1126, 1127, 1126, 1126, 1860, 225, 223,
2002 702, 1253, 186, 215, 215, 1294, 342, 674, 1173, 347,
2003
2004 1128, 1860, 1860, 606, 1228, 607, 891, 892, 892, 893,
2005 1231, 223, 569, 636, 637, 637, 638, 569, 569, 569,
2006 569, 569, 1173, 347, 569, 569, 569, 1302, 779, 640,
2007 779, 569, 569, 1231, 1096, 1860, 1309, 891, 892, 892,
2008 893, 891, 892, 892, 893, 1860, 1860, 672, 672, 672,
2009 672, 1302, 779, 1307, 779, 569, 1860, 1860, 1096, 779,
2010 1309, 779, 1095, 779, 537, 1097, 1144, 1144, 1144, 1230,
2011 1205, 1127, 1127, 1127, 1127, 1071, 1307, 1860, 569, 672,
2012 672, 672, 672, 779, 673, 779, 1095, 779, 1217, 1097,
2013 673, 673, 673, 174, 1205, 1860, 537, 673, 672, 672,
2014
2015 672, 672, 1860, 673, 1860, 891, 892, 892, 893, 673,
2016 673, 673, 174, 1308, 1318, 537, 673, 1126, 1127, 1126,
2017 1126, 1048, 1860, 197, 198, 198, 199, 779, 702, 779,
2018 1860, 1242, 1860, 1860, 1128, 1860, 1308, 675, 1318, 186,
2019 1317, 1860, 1860, 1098, 1860, 1048, 197, 198, 198, 199,
2020 1860, 779, 702, 779, 1242, 1090, 1090, 1090, 1090, 1203,
2021 675, 678, 186, 1317, 678, 678, 1098, 678, 678, 678,
2022 678, 678, 678, 678, 678, 678, 678, 678, 678, 678,
2023 678, 990, 1203, 1330, 1200, 1127, 1127, 1127, 1127, 1335,
2024 991, 1329, 1144, 1144, 1144, 1230, 1333, 672, 672, 672,
2025
2026 672, 1071, 1217, 680, 678, 990, 1330, 1200, 1544, 198,
2027 198, 1544, 1335, 991, 537, 1329, 953, 954, 954, 1047,
2028 1333, 1860, 1860, 1860, 186, 1204, 678, 283, 283, 207,
2029 283, 283, 283, 283, 283, 283, 283, 283, 283, 283,
2030 283, 283, 1049, 347, 283, 287, 283, 283, 702, 1204,
2031 1336, 1050, 691, 1146, 1233, 956, 1860, 1316, 1232, 1146,
2032 1346, 197, 198, 198, 199, 215, 1049, 347, 169, 1248,
2033 283, 1860, 702, 1336, 1050, 1860, 691, 186, 1233, 956,
2034 1316, 1232, 1860, 1346, 1860, 1201, 1860, 169, 215, 1860,
2035 1860, 169, 1248, 283, 283, 283, 1860, 283, 283, 283,
2036
2037 283, 283, 283, 283, 283, 283, 283, 283, 283, 1201,
2038 169, 283, 287, 283, 283, 1347, 1254, 1860, 1860, 891,
2039 892, 892, 893, 891, 892, 892, 893, 215, 692, 700,
2040 700, 700, 700, 1163, 1163, 1163, 1163, 283, 1860, 1347,
2041 1254, 1100, 1164, 779, 1364, 779, 559, 1199, 1860, 1860,
2042 215, 692, 1226, 1219, 1219, 1219, 1219, 1860, 1860, 1860,
2043 283, 700, 700, 700, 700, 1100, 701, 779, 1364, 779,
2044 1217, 1199, 701, 701, 701, 174, 1226, 1860, 559, 701,
2045 700, 700, 700, 700, 1860, 701, 206, 891, 892, 892,
2046 893, 701, 701, 701, 174, 1860, 874, 559, 701, 1860,
2047
2048 1238, 206, 1249, 206, 1048, 1860, 1860, 342, 571, 779,
2049 206, 779, 700, 700, 700, 700, 1332, 1860, 206, 703,
2050 874, 674, 1296, 1238, 206, 1099, 1249, 206, 1048, 559,
2051 342, 571, 1860, 779, 1860, 779, 1860, 1860, 1860, 1332,
2052 1225, 206, 703, 705, 674, 1296, 705, 705, 1099, 705,
2053 705, 705, 705, 705, 705, 705, 705, 705, 705, 705,
2054 705, 705, 705, 347, 1225, 1319, 1152, 1153, 1153, 1154,
2055 1860, 672, 672, 672, 672, 197, 198, 198, 199, 1860,
2056 1051, 954, 954, 1052, 1351, 707, 705, 347, 537, 1319,
2057 1860, 186, 1155, 207, 1143, 1144, 1144, 1145, 1860, 1101,
2058
2059 1860, 1156, 1102, 1146, 1860, 1208, 1049, 1351, 705, 212,
2060 198, 198, 213, 1256, 214, 1050, 1155, 1345, 185, 185,
2061 214, 214, 214, 185, 1156, 216, 1860, 214, 1208, 1860,
2062 1049, 169, 215, 342, 1374, 1048, 1256, 1860, 1050, 1860,
2063 1860, 1345, 1295, 1860, 1331, 717, 1860, 1860, 1860, 1143,
2064 1144, 1144, 1145, 674, 169, 215, 342, 1374, 1146, 1048,
2065 1370, 948, 948, 948, 948, 1860, 1295, 1331, 717, 600,
2066 601, 601, 602, 1860, 214, 1860, 674, 1860, 603, 185,
2067 214, 214, 214, 185, 1370, 216, 169, 214, 576, 350,
2068 350, 576, 346, 853, 346, 346, 346, 346, 346, 346,
2069
2070 346, 346, 346, 346, 346, 346, 346, 346, 1393, 169,
2071 1394, 1219, 1219, 1219, 1219, 1860, 853, 1257, 1175, 1175,
2072 1257, 1860, 1860, 577, 1051, 954, 954, 1052, 1217, 1860,
2073 348, 346, 1393, 216, 1394, 1860, 1860, 207, 1144, 1144,
2074 1144, 1230, 1166, 1167, 1167, 1168, 577, 1071, 1860, 1860,
2075 1049, 1169, 1258, 346, 346, 1860, 1860, 346, 346, 1050,
2076 346, 346, 346, 346, 346, 346, 346, 346, 346, 346,
2077 346, 346, 346, 346, 1049, 1258, 206, 956, 1350, 224,
2078 1860, 1375, 1050, 672, 672, 672, 672, 1860, 720, 1337,
2079 721, 1779, 1779, 1779, 1779, 1860, 348, 346, 1860, 206,
2080
2081 537, 956, 1350, 224, 1375, 1860, 1860, 1323, 1324, 1324,
2082 1323, 720, 1337, 721, 633, 634, 634, 635, 1236, 346,
2083 167, 1237, 1289, 167, 167, 168, 167, 167, 167, 167,
2084 167, 167, 167, 167, 167, 167, 167, 167, 167, 167,
2085 208, 1860, 206, 1860, 169, 1289, 1048, 1860, 342, 1259,
2086 1175, 1175, 1259, 1395, 1860, 1415, 1416, 206, 722, 1860,
2087 1334, 1860, 167, 167, 208, 186, 206, 169, 1860, 1860,
2088 1048, 342, 1677, 634, 634, 1677, 1860, 1395, 1415, 1416,
2089 206, 722, 1424, 1334, 1258, 167, 167, 1860, 186, 167,
2090 167, 168, 167, 167, 167, 167, 167, 167, 167, 167,
2091
2092 167, 167, 167, 167, 167, 167, 1424, 1258, 723, 1053,
2093 1054, 1054, 1053, 1054, 1054, 1054, 1054, 1265, 891, 892,
2094 892, 893, 207, 1265, 1252, 1438, 207, 1425, 167, 167,
2095 1169, 1860, 723, 1442, 1458, 1159, 1860, 1860, 224, 1159,
2096 779, 1860, 779, 1860, 1160, 206, 1191, 1860, 1160, 1438,
2097 1425, 167, 583, 584, 583, 583, 1459, 1442, 1458, 1159,
2098 206, 1460, 224, 1159, 779, 585, 779, 1160, 1860, 206,
2099 1191, 1160, 1059, 1060, 1060, 1059, 727, 1472, 586, 1459,
2100 1478, 1164, 1383, 206, 1460, 207, 555, 587, 1860, 1860,
2101 1060, 1060, 1060, 1060, 674, 1860, 1860, 1860, 461, 1164,
2102
2103 727, 1472, 586, 207, 1478, 1860, 1383, 462, 1860, 555,
2104 587, 583, 584, 583, 583, 1494, 461, 674, 884, 885,
2105 885, 886, 461, 1487, 585, 462, 1263, 1144, 1144, 1264,
2106 462, 891, 892, 892, 893, 1265, 1480, 586, 1494, 674,
2107 461, 1089, 1090, 1089, 1089, 728, 587, 1487, 462, 1860,
2108 224, 1860, 342, 779, 1091, 779, 1493, 1505, 582, 1480,
2109 1860, 586, 674, 1502, 1860, 1190, 1521, 1092, 728, 587,
2110 353, 354, 354, 355, 224, 342, 1093, 779, 1860, 779,
2111 1493, 1505, 1531, 207, 704, 1481, 1502, 702, 1190, 674,
2112 1521, 1092, 672, 672, 672, 672, 210, 1860, 1860, 1093,
2113
2114 1089, 1090, 1089, 1089, 729, 211, 1531, 704, 1481, 537,
2115 702, 1532, 674, 1091, 1860, 1860, 779, 582, 1860, 1860,
2116 210, 891, 892, 892, 893, 1292, 1092, 729, 211, 353,
2117 354, 354, 355, 1547, 1532, 1093, 1089, 1090, 1089, 1089,
2118 779, 1384, 207, 779, 1564, 779, 1860, 695, 1292, 1187,
2119 1092, 1360, 674, 582, 1536, 210, 1547, 730, 1093, 1192,
2120 1465, 1601, 1092, 1860, 211, 1384, 1860, 779, 1564, 779,
2121 695, 1093, 779, 1557, 1360, 674, 1860, 1536, 1860, 210,
2122 1565, 730, 1192, 1608, 1465, 1601, 1092, 211, 212, 198,
2123 198, 213, 1614, 214, 1093, 779, 1557, 185, 185, 214,
2124
2125 214, 214, 202, 1565, 216, 1615, 214, 1608, 891, 892,
2126 892, 893, 1257, 1175, 1175, 1260, 1614, 197, 198, 198,
2127 199, 1860, 583, 584, 583, 583, 739, 1860, 216, 1615,
2128 779, 1860, 779, 186, 1236, 585, 1860, 1237, 1860, 1152,
2129 1153, 1153, 1152, 1860, 1193, 1284, 1860, 1261, 586, 739,
2130 212, 198, 198, 213, 779, 214, 779, 1235, 206, 185,
2131 185, 214, 214, 214, 202, 1239, 216, 1193, 214, 1284,
2132 1261, 1602, 586, 206, 1240, 1613, 740, 1860, 1622, 1658,
2133 1235, 1860, 206, 197, 198, 198, 199, 1860, 1860, 1239,
2134 1352, 1352, 1352, 1352, 1602, 1860, 206, 1240, 1613, 186,
2135
2136 740, 223, 1622, 1658, 223, 223, 1285, 223, 223, 223,
2137 223, 223, 223, 223, 223, 223, 223, 223, 223, 223,
2138 223, 1860, 1280, 1659, 1664, 700, 700, 700, 700, 1860,
2139 1285, 672, 672, 672, 672, 581, 1665, 571, 747, 1450,
2140 1860, 1860, 559, 225, 223, 1280, 1659, 1664, 537, 1598,
2141 1860, 1860, 672, 672, 672, 672, 1860, 1860, 581, 1665,
2142 571, 747, 1860, 1450, 1315, 1288, 223, 223, 1860, 537,
2143 223, 223, 1598, 223, 223, 223, 223, 223, 223, 223,
2144 223, 223, 223, 223, 223, 223, 223, 1315, 1288, 1293,
2145 779, 1194, 1195, 1195, 1196, 891, 892, 892, 893, 1684,
2146
2147 1860, 748, 1860, 672, 672, 672, 672, 1860, 1594, 225,
2148 223, 1860, 1293, 779, 779, 779, 1860, 779, 1860, 779,
2149 537, 1543, 1684, 1197, 748, 779, 1205, 1469, 1539, 1860,
2150 1198, 1594, 223, 647, 648, 648, 649, 779, 779, 779,
2151 1209, 779, 185, 779, 1860, 1860, 1197, 1860, 1860, 779,
2152 1205, 1860, 1539, 1198, 1662, 1682, 1860, 1683, 760, 1860,
2153 1860, 761, 779, 1209, 197, 198, 198, 199, 762, 700,
2154 700, 700, 700, 1860, 1537, 1537, 1537, 1537, 1662, 1682,
2155 186, 1683, 760, 1538, 1371, 761, 559, 1153, 1153, 1153,
2156 1153, 762, 569, 636, 637, 637, 638, 569, 569, 569,
2157
2158 569, 569, 1860, 1314, 569, 569, 569, 1860, 1371, 640,
2159 206, 569, 569, 1239, 1328, 1450, 1306, 1306, 1306, 1306,
2160 779, 1860, 1240, 1048, 1599, 206, 1314, 1703, 1657, 891,
2161 892, 892, 893, 1217, 206, 569, 1860, 1239, 1328, 1450,
2162 1342, 1343, 1343, 1344, 779, 1240, 1048, 1599, 206, 206,
2163 1703, 779, 1657, 779, 1750, 1750, 1750, 1750, 569, 569,
2164 774, 637, 637, 775, 569, 569, 569, 569, 569, 1704,
2165 1278, 569, 569, 569, 206, 779, 773, 779, 569, 569,
2166 1680, 1297, 1297, 1297, 1297, 1688, 1166, 1167, 1167, 1250,
2167 674, 1713, 1704, 1278, 1860, 1071, 1753, 206, 1299, 207,
2168
2169 1860, 1860, 569, 1860, 1680, 1310, 1310, 1310, 1310, 1688,
2170 1311, 1860, 461, 674, 1713, 1320, 1144, 1144, 1321, 174,
2171 174, 462, 1312, 1301, 1322, 569, 569, 776, 777, 777,
2172 778, 569, 569, 569, 569, 569, 461, 347, 569, 569,
2173 780, 1720, 1860, 569, 462, 569, 569, 1301, 174, 781,
2174 1860, 782, 1860, 783, 1194, 1195, 1195, 1196, 1860, 1689,
2175 1236, 347, 784, 1237, 1720, 1537, 1537, 1537, 1537, 569,
2176 1860, 1860, 1860, 781, 1538, 782, 779, 783, 779, 1263,
2177 1144, 1144, 1264, 1689, 206, 784, 1197, 1860, 1265, 1860,
2178 1860, 1860, 569, 672, 672, 672, 672, 1860, 673, 206,
2179
2180 779, 1860, 779, 224, 673, 673, 673, 174, 206, 1197,
2181 537, 673, 672, 672, 672, 672, 1860, 673, 197, 198,
2182 198, 199, 206, 673, 673, 673, 174, 224, 1735, 537,
2183 673, 1743, 1860, 1860, 186, 1623, 1623, 1623, 1623, 1167,
2184 1167, 1167, 1251, 1748, 1624, 803, 1287, 1592, 1071, 1860,
2185 1593, 1735, 207, 1860, 1743, 1257, 1175, 1175, 1257, 1860,
2186 1860, 1413, 1324, 1324, 1413, 461, 1748, 779, 803, 678,
2187 1287, 216, 678, 678, 462, 678, 678, 678, 678, 678,
2188 678, 678, 678, 678, 678, 678, 678, 678, 678, 461,
2189 1258, 779, 1305, 1306, 1305, 1305, 1258, 462, 1860, 1860,
2190
2191 1860, 1259, 1175, 1175, 1259, 1166, 1167, 1167, 1250, 1128,
2192 1765, 680, 678, 1258, 1071, 1860, 1860, 186, 207, 1258,
2193 1860, 197, 198, 198, 199, 1413, 1324, 1324, 1413, 1860,
2194 1860, 461, 1579, 1765, 678, 678, 1258, 186, 678, 678,
2195 462, 678, 678, 678, 678, 678, 678, 678, 678, 678,
2196 678, 678, 678, 678, 678, 461, 1579, 1349, 1252, 1258,
2197 1258, 1705, 1712, 462, 1169, 1860, 1722, 1724, 197, 198,
2198 198, 199, 1306, 1306, 1306, 1306, 1860, 680, 678, 206,
2199 1349, 1860, 1543, 1258, 186, 1705, 1712, 1860, 1469, 1217,
2200 1722, 1724, 1348, 1860, 206, 1352, 1352, 1352, 1352, 779,
2201
2202 678, 283, 283, 206, 283, 283, 283, 283, 283, 283,
2203 283, 283, 283, 283, 283, 283, 1348, 206, 283, 287,
2204 283, 283, 1734, 779, 816, 1860, 1666, 1280, 1360, 1450,
2205 1860, 1257, 1175, 1175, 1260, 672, 672, 672, 672, 1860,
2206 1860, 1600, 1773, 1860, 283, 1860, 1734, 216, 816, 1666,
2207 1280, 1360, 537, 1450, 1466, 1467, 1467, 1468, 1860, 1338,
2208 1339, 1339, 1340, 1469, 1600, 1773, 1261, 283, 283, 283,
2209 1860, 283, 283, 283, 283, 283, 283, 283, 283, 283,
2210 283, 283, 283, 956, 1746, 283, 287, 283, 283, 1261,
2211 779, 1341, 1860, 891, 892, 892, 893, 817, 1450, 1385,
2212
2213 1385, 1385, 1385, 1263, 1144, 1144, 1264, 956, 1746, 1860,
2214 1661, 283, 1265, 779, 1341, 779, 1299, 779, 1770, 1277,
2215 1450, 817, 1450, 1623, 1623, 1623, 1623, 224, 1310, 1310,
2216 1310, 1310, 1624, 1661, 283, 700, 700, 700, 700, 779,
2217 701, 779, 1770, 1277, 1450, 1312, 701, 701, 701, 174,
2218 1772, 224, 559, 701, 700, 700, 700, 700, 1860, 701,
2219 891, 892, 892, 893, 1860, 701, 701, 701, 174, 1778,
2220 1301, 559, 701, 1360, 1772, 197, 198, 198, 199, 1860,
2221 1860, 1796, 779, 1860, 779, 1860, 1860, 824, 1279, 1860,
2222 1860, 186, 1860, 1778, 1860, 1860, 1360, 197, 198, 198,
2223
2224 199, 1194, 1195, 1195, 1196, 1796, 779, 1450, 779, 1368,
2225 824, 705, 1279, 186, 705, 705, 1660, 705, 705, 705,
2226 705, 705, 705, 705, 705, 705, 705, 705, 705, 705,
2227 705, 1450, 1368, 1197, 1829, 1860, 1369, 779, 1833, 1660,
2228 1860, 672, 672, 672, 672, 1714, 1797, 1804, 1807, 1396,
2229 1396, 1396, 1396, 707, 705, 1360, 1197, 1829, 537, 1369,
2230 779, 1833, 672, 672, 672, 672, 1312, 1860, 1860, 1714,
2231 1797, 1804, 1807, 1860, 1372, 1860, 705, 705, 1360, 537,
2232 705, 705, 1860, 705, 705, 705, 705, 705, 705, 705,
2233 705, 705, 705, 705, 705, 705, 705, 1372, 1373, 1310,
2234
2235 1310, 1310, 1310, 891, 892, 892, 893, 700, 700, 700,
2236 700, 700, 700, 700, 700, 1860, 1312, 1860, 1860, 707,
2237 705, 1373, 1860, 1281, 559, 779, 1860, 779, 559, 1860,
2238 1053, 1054, 1054, 1055, 1860, 1860, 891, 892, 892, 893,
2239 1401, 1301, 705, 212, 198, 198, 213, 1281, 214, 779,
2240 1428, 779, 185, 185, 214, 214, 214, 185, 779, 216,
2241 779, 214, 956, 1401, 1828, 891, 892, 892, 893, 1834,
2242 1282, 832, 1860, 1860, 1428, 1860, 1860, 672, 672, 672,
2243 672, 1844, 779, 1860, 779, 956, 1860, 779, 1828, 779,
2244 1860, 1450, 1834, 1282, 537, 832, 212, 198, 198, 213,
2245
2246 1479, 214, 1283, 1860, 1844, 185, 185, 214, 214, 214,
2247 185, 779, 216, 779, 214, 1450, 700, 700, 700, 700,
2248 1809, 1809, 1809, 1809, 1479, 1283, 672, 672, 672, 672,
2249 833, 1860, 1860, 559, 1377, 1377, 1377, 1377, 1513, 1513,
2250 1513, 1513, 1860, 537, 1860, 1503, 1503, 1503, 1503, 1860,
2251 1376, 1379, 1402, 833, 346, 1514, 1860, 346, 346, 1860,
2252 346, 346, 346, 346, 346, 346, 346, 346, 346, 346,
2253 346, 346, 346, 346, 1376, 1402, 541, 1427, 197, 198,
2254 198, 199, 1515, 1516, 1516, 1517, 1860, 197, 198, 198,
2255 199, 835, 1860, 1860, 186, 1860, 348, 346, 186, 1514,
2256
2257 1427, 1439, 1860, 186, 1860, 1403, 1403, 1403, 1403, 1570,
2258 1570, 1570, 1570, 1860, 835, 1152, 1153, 1153, 1154, 346,
2259 346, 1440, 1405, 346, 346, 1439, 346, 346, 346, 346,
2260 346, 346, 346, 346, 346, 346, 346, 346, 346, 346,
2261 1681, 1155, 1860, 1572, 1440, 1860, 1860, 563, 1860, 1087,
2262 1156, 1717, 674, 1418, 836, 197, 198, 198, 199, 1860,
2263 1860, 1860, 348, 346, 1681, 1155, 1572, 1477, 1477, 1477,
2264 1477, 186, 1087, 1156, 1717, 674, 1418, 836, 1860, 1860,
2265 1152, 1153, 1153, 1154, 537, 346, 167, 1860, 1470, 167,
2266 167, 168, 167, 167, 167, 167, 167, 167, 167, 167,
2267
2268 167, 167, 167, 167, 167, 167, 1155, 1434, 1435, 1435,
2269 1434, 1470, 1719, 1716, 1860, 1156, 1419, 1544, 198, 198,
2270 1545, 837, 674, 216, 1544, 198, 198, 1545, 167, 167,
2271 1155, 1360, 1860, 186, 1860, 1860, 1719, 1716, 1156, 1419,
2272 186, 1860, 1860, 1860, 837, 674, 1860, 1860, 1860, 1508,
2273 1860, 167, 167, 1860, 1360, 167, 167, 168, 167, 167,
2274 167, 167, 167, 167, 167, 167, 167, 167, 167, 167,
2275 167, 167, 1167, 1167, 1167, 1251, 838, 1326, 1326, 1326,
2276 1326, 1071, 1117, 1860, 1592, 207, 1164, 1593, 1089, 1090,
2277 1089, 1089, 1846, 674, 167, 167, 1860, 1860, 461, 1860,
2278
2279 838, 1091, 1450, 461, 779, 582, 1117, 462, 1477, 1477,
2280 1477, 1477, 462, 1771, 1092, 1846, 674, 167, 842, 843,
2281 842, 842, 461, 1093, 582, 537, 1450, 461, 779, 582,
2282 462, 844, 1847, 669, 1860, 462, 1771, 582, 1092, 1089,
2283 1090, 1089, 1089, 670, 845, 1860, 1093, 1860, 891, 892,
2284 892, 893, 1091, 846, 1860, 1847, 582, 669, 1089, 1090,
2285 1089, 1089, 1860, 1860, 1860, 1092, 670, 1860, 845, 1860,
2286 779, 1091, 1355, 1860, 1093, 582, 846, 583, 584, 583,
2287 583, 1860, 1860, 1860, 1092, 672, 672, 672, 672, 1092,
2288 585, 1450, 1860, 1093, 779, 1741, 1355, 1093, 891, 892,
2289
2290 892, 893, 537, 586, 891, 892, 892, 893, 1092, 1860,
2291 847, 1860, 587, 1860, 1860, 1450, 1093, 1742, 1860, 1741,
2292 1363, 1450, 779, 1860, 797, 1860, 779, 586, 897, 891,
2293 892, 892, 893, 847, 1860, 587, 583, 584, 583, 583,
2294 1860, 1742, 1860, 1356, 1363, 1450, 779, 797, 1860, 585,
2295 779, 779, 897, 779, 891, 892, 892, 893, 197, 198,
2296 198, 199, 586, 1860, 1365, 1860, 1356, 1570, 1570, 1570,
2297 1570, 587, 848, 1860, 186, 779, 779, 779, 779, 1473,
2298 1325, 1326, 1325, 1325, 1860, 1860, 586, 1365, 1860, 1327,
2299 1860, 1367, 1860, 585, 587, 848, 353, 354, 354, 355,
2300
2301 779, 1625, 779, 1473, 1860, 1860, 586, 1860, 1860, 207,
2302 583, 584, 583, 583, 1367, 587, 1152, 1153, 1153, 1154,
2303 1860, 1860, 210, 585, 1625, 197, 198, 198, 199, 849,
2304 586, 211, 468, 1860, 1860, 1860, 586, 1860, 587, 1450,
2305 1417, 186, 1155, 1768, 1860, 587, 210, 1558, 1558, 1558,
2306 1558, 1156, 849, 1860, 211, 468, 353, 354, 354, 355,
2307 586, 1471, 1860, 1450, 1417, 1860, 1155, 1768, 587, 207,
2308 1435, 1435, 1435, 1435, 1156, 1420, 1421, 1421, 1422, 1559,
2309 1860, 1860, 210, 1860, 1471, 1450, 186, 672, 672, 672,
2310 672, 211, 1429, 1343, 1343, 1429, 1799, 851, 1860, 1860,
2311
2312 1860, 1155, 1559, 1860, 537, 207, 210, 1423, 1860, 1450,
2313 1156, 1476, 1508, 779, 211, 1860, 1860, 1860, 461, 1799,
2314 851, 733, 734, 734, 733, 1155, 1733, 1430, 1747, 1860,
2315 1423, 1360, 1860, 1156, 207, 1476, 1860, 779, 672, 672,
2316 672, 672, 461, 1486, 1486, 1486, 1486, 461, 1860, 1733,
2317 1430, 1747, 1860, 853, 1360, 537, 462, 1860, 1860, 1860,
2318 1431, 1343, 1343, 1431, 1554, 1860, 1860, 1605, 1606, 1606,
2319 1607, 461, 1860, 207, 798, 1860, 853, 1860, 1860, 462,
2320 212, 198, 198, 213, 1860, 214, 461, 779, 1554, 185,
2321 185, 214, 214, 214, 202, 1430, 216, 798, 214, 1860,
2322
2323 1429, 1343, 1343, 1432, 857, 1860, 1434, 1435, 1435, 1436,
2324 461, 779, 1860, 207, 1860, 185, 185, 1860, 1430, 1860,
2325 185, 1860, 216, 1860, 1860, 1450, 210, 1860, 857, 212,
2326 198, 198, 213, 1860, 214, 1433, 1860, 1707, 185, 185,
2327 214, 214, 214, 202, 1860, 216, 1860, 214, 1508, 1450,
2328 210, 1860, 1860, 1461, 1462, 1462, 1463, 1860, 1433, 1860,
2329 1707, 674, 1464, 1860, 1118, 1860, 1860, 1860, 858, 700,
2330 700, 700, 700, 779, 1466, 1467, 1467, 1468, 1860, 1461,
2331 1462, 1462, 1463, 1469, 674, 1860, 559, 1118, 1464, 1860,
2332 1860, 858, 223, 1490, 779, 223, 223, 779, 223, 223,
2333
2334 223, 223, 223, 223, 223, 223, 223, 223, 223, 223,
2335 223, 223, 1860, 989, 1860, 779, 1860, 1490, 779, 1860,
2336 1642, 1642, 1642, 1642, 1721, 1721, 1721, 1721, 1860, 1860,
2337 864, 1860, 1860, 1624, 225, 223, 989, 1514, 779, 197,
2338 198, 198, 199, 700, 700, 700, 700, 1810, 1779, 1779,
2339 1810, 1860, 1860, 864, 1860, 186, 1860, 223, 223, 1860,
2340 559, 223, 223, 1546, 223, 223, 223, 223, 223, 223,
2341 223, 223, 223, 223, 223, 223, 223, 223, 1860, 823,
2342 865, 672, 672, 672, 672, 1860, 1860, 1546, 1860, 197,
2343 198, 198, 199, 1544, 198, 198, 1545, 1860, 537, 1860,
2344
2345 225, 223, 823, 1860, 865, 186, 1860, 1860, 1860, 186,
2346 1860, 1518, 1839, 1840, 1840, 1839, 1549, 1860, 1745, 1152,
2347 1153, 1153, 1154, 223, 569, 887, 888, 888, 889, 569,
2348 569, 569, 569, 569, 1360, 1518, 569, 569, 780, 1549,
2349 1860, 569, 1745, 569, 569, 1155, 1450, 781, 1769, 782,
2350 1860, 783, 1450, 1860, 1156, 1495, 1860, 1360, 1736, 1860,
2351 890, 1860, 700, 700, 700, 700, 1860, 569, 1860, 1155,
2352 1450, 781, 1769, 782, 1860, 783, 1450, 1156, 1495, 559,
2353 1860, 1736, 1860, 890, 1860, 1860, 1496, 1497, 1497, 1498,
2354 569, 569, 774, 637, 637, 775, 569, 569, 569, 569,
2355
2356 569, 822, 1860, 569, 569, 569, 1860, 1860, 773, 1860,
2357 569, 569, 1155, 1860, 197, 198, 198, 199, 1499, 1860,
2358 1860, 1156, 1860, 1860, 822, 1860, 197, 198, 198, 199,
2359 186, 1860, 1860, 1860, 569, 1860, 1155, 1503, 1503, 1503,
2360 1503, 1499, 186, 1860, 1156, 1548, 1570, 1570, 1570, 1570,
2361 1589, 1779, 1779, 1779, 1779, 1860, 1860, 569, 569, 776,
2362 777, 777, 778, 569, 569, 569, 569, 569, 1548, 1427,
2363 569, 569, 780, 1860, 1589, 569, 1450, 569, 569, 1860,
2364 1572, 781, 1798, 782, 1450, 783, 1152, 1153, 1153, 1154,
2365 1663, 1860, 1427, 1860, 784, 1860, 1152, 1153, 1153, 1154,
2366
2367 1450, 569, 1860, 1572, 1860, 781, 1798, 782, 1450, 783,
2368 1860, 1860, 1155, 1663, 1500, 1860, 1860, 784, 1860, 1860,
2369 1860, 1156, 1155, 1860, 569, 891, 892, 892, 893, 1860,
2370 1860, 1563, 1510, 1511, 1511, 1510, 1155, 1860, 1500, 1860,
2371 1420, 1421, 1421, 1420, 1156, 1860, 1155, 779, 216, 779,
2372 1642, 1642, 1642, 1642, 1563, 1627, 1570, 1570, 1627, 895,
2373 890, 1511, 1511, 1511, 1511, 1860, 1239, 1514, 1860, 1860,
2374 1860, 779, 1427, 779, 1582, 1240, 1860, 186, 1421, 1421,
2375 1421, 1421, 895, 890, 891, 892, 892, 893, 1860, 1628,
2376 1239, 1860, 1860, 1236, 1860, 1427, 1237, 1860, 1240, 1420,
2377
2378 1421, 1421, 1422, 1582, 1239, 1860, 779, 1860, 779, 1860,
2379 1427, 1860, 1628, 1240, 1860, 208, 1860, 896, 1860, 890,
2380 1860, 1352, 1352, 1352, 1352, 1155, 1860, 206, 1239, 206,
2381 779, 1423, 779, 1427, 1156, 1860, 1240, 1860, 1860, 208,
2382 896, 1860, 890, 891, 892, 892, 893, 1860, 1860, 1155,
2383 206, 1860, 206, 1280, 1423, 1860, 779, 1156, 1860, 1860,
2384 1860, 1726, 1727, 1727, 1728, 779, 1860, 779, 1860, 1860,
2385 1702, 1860, 1152, 1153, 1153, 1154, 1280, 898, 890, 899,
2386 779, 1860, 1053, 1054, 1054, 1055, 1640, 1860, 1860, 779,
2387 1860, 779, 1501, 1702, 1860, 207, 1860, 1860, 1155, 1860,
2388
2389 898, 890, 899, 647, 648, 648, 649, 1156, 957, 1860,
2390 1640, 1860, 1513, 1513, 1513, 1513, 1501, 1506, 1515, 1516,
2391 1516, 1515, 1155, 1429, 1343, 1343, 1429, 1450, 650, 1514,
2392 1156, 651, 957, 1585, 186, 1514, 207, 1860, 652, 1585,
2393 1506, 1706, 215, 1860, 1860, 1642, 1642, 1642, 1642, 461,
2394 1860, 1450, 650, 1860, 1586, 651, 1860, 1585, 1430, 1860,
2395 1586, 652, 1514, 1585, 1706, 215, 672, 672, 672, 672,
2396 1860, 673, 1860, 461, 1860, 1860, 1860, 673, 673, 673,
2397 174, 1430, 1860, 537, 673, 1860, 1860, 1860, 917, 1576,
2398 1577, 1577, 1578, 1860, 1431, 1343, 1343, 1431, 185, 185,
2399
2400 1860, 346, 1860, 1860, 346, 186, 1860, 207, 1429, 1343,
2401 1343, 1432, 917, 672, 672, 672, 672, 1860, 673, 1592,
2402 461, 207, 1593, 1580, 673, 673, 673, 174, 1860, 1430,
2403 537, 673, 1860, 1860, 210, 1860, 347, 721, 1860, 779,
2404 1860, 781, 1860, 1433, 461, 1860, 1580, 1698, 1642, 1642,
2405 1698, 918, 1430, 1721, 1721, 1721, 1721, 1860, 210, 347,
2406 721, 1860, 1624, 779, 1699, 781, 1433, 1860, 1860, 1445,
2407 1445, 1445, 1445, 1860, 918, 806, 672, 672, 806, 678,
2408 1860, 678, 678, 678, 678, 678, 678, 678, 678, 678,
2409 678, 678, 807, 678, 678, 990, 1805, 1805, 1805, 1805,
2410
2411 1860, 1280, 1860, 1860, 991, 1806, 1805, 1805, 1805, 1805,
2412 1860, 1152, 1153, 1153, 1154, 1806, 1860, 680, 678, 990,
2413 1510, 1511, 1511, 1512, 1280, 1860, 1860, 991, 1860, 185,
2414 185, 1860, 1860, 1860, 185, 1860, 216, 1155, 1860, 1560,
2415 678, 678, 1860, 1860, 678, 678, 1156, 678, 678, 678,
2416 678, 678, 678, 678, 678, 678, 678, 678, 678, 678,
2417 678, 1155, 1582, 1560, 1648, 1649, 1649, 1650, 1860, 1156,
2418 1860, 1860, 1860, 185, 185, 1677, 634, 634, 1678, 1860,
2419 186, 1514, 1860, 680, 678, 1731, 970, 970, 1764, 1860,
2420 1860, 186, 1860, 1860, 1071, 1860, 1453, 1358, 1358, 1454,
2421
2422 1860, 186, 1496, 1497, 1497, 1496, 678, 283, 283, 780,
2423 283, 283, 283, 283, 283, 283, 283, 283, 283, 283,
2424 283, 283, 1451, 1860, 283, 287, 283, 283, 1239, 779,
2425 1860, 1452, 1860, 1860, 1559, 1860, 1860, 1240, 1631, 1631,
2426 1631, 1631, 927, 989, 1860, 899, 1451, 1860, 1860, 1860,
2427 283, 1860, 1239, 779, 1452, 1860, 1860, 1559, 1860, 1860,
2428 1240, 1843, 1843, 1843, 1843, 927, 989, 1860, 899, 1860,
2429 1806, 1860, 1633, 283, 283, 283, 1860, 283, 283, 283,
2430 283, 283, 283, 283, 283, 283, 283, 283, 283, 1860,
2431 1860, 283, 287, 283, 283, 1633, 1860, 1860, 1453, 1358,
2432
2433 1358, 1454, 1558, 1558, 1558, 1558, 1860, 1566, 1567, 1567,
2434 1568, 780, 1860, 928, 1860, 1860, 1569, 283, 1698, 1642,
2435 1642, 1698, 1860, 1860, 1451, 1455, 1456, 1456, 1455, 1053,
2436 1054, 1054, 1055, 1452, 1559, 1699, 928, 1860, 780, 956,
2437 283, 700, 700, 700, 700, 1860, 701, 1860, 1451, 1860,
2438 1860, 1533, 701, 701, 701, 174, 1452, 1559, 559, 701,
2439 1534, 956, 956, 935, 1566, 1567, 1567, 1568, 1456, 1456,
2440 1456, 1456, 1860, 1569, 1860, 1533, 1788, 1789, 1789, 1790,
2441 1860, 780, 1860, 1534, 956, 185, 185, 935, 700, 700,
2442 700, 700, 186, 701, 1533, 1860, 956, 1860, 1057, 701,
2443
2444 701, 701, 174, 1534, 1860, 559, 701, 1860, 1860, 1860,
2445 936, 1648, 1649, 1649, 1648, 1860, 1860, 1860, 1533, 956,
2446 1860, 1057, 1839, 1840, 1840, 1839, 1534, 186, 1514, 1526,
2447 1527, 1527, 1526, 1860, 936, 826, 700, 700, 826, 705,
2448 1860, 705, 705, 705, 705, 705, 705, 705, 705, 705,
2449 705, 705, 827, 705, 705, 1595, 1860, 1860, 1860, 1649,
2450 1649, 1649, 1649, 1860, 1596, 1576, 1577, 1577, 1576, 1860,
2451 1860, 1461, 1462, 1462, 1461, 186, 1514, 707, 705, 1595,
2452 1538, 186, 1860, 1860, 780, 1860, 1860, 1596, 1860, 1779,
2453 1779, 1779, 1779, 1503, 1503, 1503, 1503, 990, 1860, 1625,
2454
2455 705, 705, 1860, 1860, 705, 705, 991, 705, 705, 705,
2456 705, 705, 705, 705, 705, 705, 705, 705, 705, 705,
2457 705, 990, 1625, 1860, 1860, 1427, 1845, 1860, 1860, 991,
2458 1860, 1577, 1577, 1577, 1577, 1860, 1860, 1462, 1462, 1462,
2459 1462, 1860, 1860, 707, 705, 1860, 1538, 186, 1427, 1845,
2460 780, 1860, 197, 198, 198, 199, 1860, 1860, 1667, 1668,
2461 1668, 1669, 1860, 990, 1860, 1625, 705, 346, 186, 1860,
2462 346, 346, 991, 346, 346, 346, 346, 346, 346, 346,
2463 346, 346, 346, 346, 346, 346, 346, 990, 1625, 1653,
2464 1670, 1648, 1649, 1649, 1650, 991, 1360, 1860, 1860, 1860,
2465
2466 1570, 1570, 1570, 1570, 1860, 943, 1860, 186, 1514, 348,
2467 346, 1860, 1653, 1670, 1516, 1516, 1516, 1516, 1860, 1360,
2468 1152, 1153, 1153, 1154, 1627, 1570, 1570, 1627, 943, 1048,
2469 186, 1514, 346, 346, 1572, 1585, 346, 346, 1860, 346,
2470 346, 346, 346, 346, 346, 346, 346, 346, 346, 346,
2471 346, 346, 346, 1860, 1048, 944, 1586, 1572, 1628, 1585,
2472 1860, 1760, 1760, 1760, 1760, 1860, 1576, 1577, 1577, 1578,
2473 1860, 1843, 1843, 1843, 1843, 348, 346, 1048, 1514, 944,
2474 1806, 1628, 186, 1860, 1860, 1860, 1860, 1631, 1631, 1631,
2475 1631, 1860, 1860, 1497, 1497, 1497, 1497, 1860, 346, 167,
2476
2477 1629, 1860, 167, 167, 168, 167, 167, 167, 167, 167,
2478 167, 167, 167, 167, 167, 167, 167, 167, 167, 1239,
2479 1860, 1691, 1860, 1629, 1860, 1559, 1860, 1860, 1240, 1648,
2480 1649, 1649, 1650, 945, 1860, 1860, 1860, 1860, 185, 185,
2481 1860, 167, 167, 1239, 1691, 186, 1514, 1860, 1559, 1860,
2482 1860, 1240, 1851, 1851, 1851, 1852, 945, 1774, 1775, 1775,
2483 1776, 1541, 1860, 1860, 167, 167, 1777, 1860, 167, 167,
2484 168, 167, 167, 167, 167, 167, 167, 167, 167, 167,
2485 167, 167, 167, 167, 167, 1466, 1467, 1467, 1540, 1527,
2486 1527, 1527, 1527, 1860, 1541, 1360, 1860, 1860, 780, 1860,
2487
2488 1860, 1860, 946, 1467, 1467, 1467, 1542, 167, 167, 1860,
2489 1860, 990, 1541, 1860, 1860, 1595, 780, 1860, 1360, 1860,
2490 991, 1860, 1860, 1860, 1596, 946, 1860, 1860, 1860, 990,
2491 167, 842, 843, 842, 842, 990, 1860, 582, 991, 1595,
2492 1860, 1860, 582, 991, 844, 1860, 1860, 1596, 1860, 1860,
2493 582, 1860, 1860, 990, 1860, 1860, 1860, 845, 1860, 1860,
2494 1860, 991, 1477, 1477, 1477, 1477, 846, 1860, 1860, 1496,
2495 1497, 1497, 1498, 1152, 1153, 1153, 1154, 1860, 1860, 537,
2496 1860, 845, 1860, 1152, 1153, 1153, 1154, 669, 1860, 846,
2497 583, 584, 583, 583, 1860, 1155, 1860, 670, 1860, 1155,
2498
2499 1860, 1499, 1860, 585, 1156, 1562, 1860, 1860, 1617, 1155,
2500 1860, 669, 1860, 1860, 727, 1860, 586, 1860, 1156, 1155,
2501 670, 1860, 1860, 1155, 1499, 587, 1860, 1156, 1860, 1562,
2502 1860, 1617, 1860, 1155, 1631, 1631, 1631, 1631, 727, 1860,
2503 586, 1156, 1860, 1152, 1153, 1153, 1154, 1860, 587, 583,
2504 584, 583, 583, 1860, 1089, 1090, 1089, 1089, 1860, 1860,
2505 1860, 1860, 585, 1561, 1860, 1860, 1860, 1091, 1633, 1155,
2506 1860, 582, 1860, 1860, 1592, 586, 1860, 1593, 1156, 1860,
2507 1092, 1860, 1860, 728, 587, 1860, 1860, 1561, 1860, 1591,
2508 1860, 1633, 1860, 1155, 779, 1860, 781, 1860, 1860, 586,
2509
2510 1860, 1156, 1860, 1860, 1092, 1860, 728, 587, 583, 584,
2511 583, 583, 1591, 1466, 1467, 1467, 1540, 1860, 779, 1860,
2512 781, 585, 1541, 1860, 1860, 1860, 780, 1467, 1467, 1467,
2513 1542, 1860, 949, 1860, 586, 1860, 1541, 1860, 1860, 990,
2514 780, 1860, 1860, 587, 1642, 1642, 1642, 1642, 991, 1693,
2515 1631, 1631, 1693, 990, 1860, 1860, 949, 1860, 586, 1860,
2516 1860, 1514, 991, 990, 1860, 1860, 587, 583, 584, 583,
2517 583, 991, 197, 198, 198, 199, 1860, 990, 1644, 1860,
2518 585, 1860, 1860, 1694, 1860, 991, 1860, 1860, 186, 1860,
2519 1860, 1860, 1860, 586, 1860, 1860, 1609, 1860, 1860, 1860,
2520
2521 1860, 1644, 587, 1860, 1860, 950, 1694, 1860, 1860, 672,
2522 672, 672, 672, 1152, 1153, 1153, 1154, 586, 1860, 1860,
2523 1609, 197, 198, 198, 199, 587, 537, 1860, 950, 353,
2524 354, 354, 355, 1860, 1860, 1616, 1860, 186, 1860, 1155,
2525 1860, 1860, 207, 801, 1860, 1610, 1690, 1860, 1156, 1420,
2526 1421, 1421, 1422, 951, 1860, 210, 1860, 1860, 1860, 1616,
2527 1860, 1860, 1860, 1155, 211, 1860, 801, 1860, 1610, 1860,
2528 1690, 1156, 1860, 1860, 1860, 1155, 1860, 951, 1860, 210,
2529 1860, 1423, 1860, 1860, 1156, 1860, 1860, 211, 353, 354,
2530 354, 355, 1570, 1570, 1570, 1570, 1860, 1860, 1860, 1155,
2531
2532 1860, 207, 1860, 1860, 1423, 1860, 1860, 1156, 1677, 634,
2533 634, 1678, 359, 1860, 210, 1860, 1860, 1626, 1860, 1576,
2534 1577, 1577, 1578, 211, 186, 1860, 1572, 952, 185, 185,
2535 1860, 197, 198, 198, 199, 186, 359, 1860, 210, 1860,
2536 1860, 1626, 1558, 1558, 1558, 1558, 211, 186, 1860, 1572,
2537 952, 223, 1860, 1580, 223, 223, 1860, 223, 223, 223,
2538 223, 223, 223, 223, 223, 223, 223, 223, 223, 223,
2539 223, 1860, 1860, 1860, 1559, 1860, 1580, 1860, 1860, 1860,
2540 1860, 672, 672, 672, 672, 1860, 973, 1566, 1567, 1567,
2541 1566, 1860, 1860, 225, 223, 1860, 1624, 1559, 537, 1860,
2542
2543 207, 1860, 197, 198, 198, 199, 1860, 1860, 1860, 973,
2544 1860, 1860, 1860, 1159, 1860, 1679, 223, 223, 186, 1860,
2545 223, 223, 1160, 223, 223, 223, 223, 223, 223, 223,
2546 223, 223, 223, 223, 223, 223, 223, 1159, 1679, 1701,
2547 1860, 974, 1860, 1860, 1860, 1160, 1860, 672, 672, 672,
2548 672, 1860, 1860, 1860, 1567, 1567, 1567, 1567, 1860, 225,
2549 223, 1860, 1701, 1624, 537, 974, 1860, 207, 1860, 1718,
2550 1671, 1606, 1606, 1674, 1642, 1642, 1642, 1642, 1860, 1860,
2551 1159, 1860, 223, 647, 648, 648, 649, 1860, 1860, 1160,
2552 779, 1514, 1860, 1718, 1860, 1860, 1860, 1697, 1860, 1860,
2553
2554 1637, 1638, 1638, 1639, 1159, 1675, 1860, 1860, 760, 185,
2555 185, 761, 1160, 1860, 779, 1860, 186, 342, 762, 1860,
2556 1860, 1697, 1860, 1860, 1685, 1686, 1686, 1687, 1675, 1860,
2557 1860, 1860, 760, 1860, 1641, 761, 1642, 1642, 1642, 1642,
2558 342, 762, 569, 887, 888, 888, 889, 569, 569, 569,
2559 569, 569, 1860, 1514, 569, 569, 780, 1641, 1048, 569,
2560 1860, 569, 569, 1860, 1860, 781, 1860, 782, 1860, 783,
2561 1648, 1649, 1649, 1650, 1860, 1860, 1860, 1729, 890, 185,
2562 185, 1048, 1860, 1860, 1860, 569, 186, 1514, 1860, 781,
2563 1860, 782, 1860, 783, 1860, 1860, 1723, 1723, 1723, 1723,
2564
2565 1729, 890, 1860, 1860, 1652, 1860, 1860, 1860, 569, 569,
2566 887, 888, 888, 889, 569, 569, 569, 569, 569, 1860,
2567 1860, 569, 569, 780, 1860, 1860, 569, 1652, 569, 569,
2568 1633, 1860, 781, 1860, 782, 1860, 783, 1671, 1606, 1606,
2569 1671, 1744, 1744, 1744, 1744, 890, 1755, 1756, 1756, 1755,
2570 780, 1860, 569, 1633, 1860, 1860, 781, 1860, 782, 1860,
2571 783, 1860, 186, 990, 1860, 1860, 1860, 1860, 890, 1860,
2572 1860, 1860, 1672, 1715, 1860, 569, 891, 892, 892, 893,
2573 1673, 1606, 1606, 1673, 1860, 1860, 1860, 990, 1753, 1637,
2574 1638, 1638, 1637, 780, 1860, 1672, 1715, 1860, 779, 1860,
2575
2576 779, 1755, 1756, 1756, 1757, 186, 990, 1860, 993, 994,
2577 1496, 1497, 1497, 1498, 1860, 1672, 1860, 186, 1860, 1048,
2578 1860, 1860, 779, 1691, 779, 1631, 1631, 1631, 1631, 1860,
2579 990, 993, 994, 891, 892, 892, 893, 1860, 1672, 1860,
2580 1860, 1860, 1499, 1860, 1048, 1860, 1691, 1860, 1860, 1860,
2581 1692, 1618, 1619, 1619, 1618, 779, 1860, 779, 1860, 1633,
2582 1624, 1860, 1860, 1860, 1860, 1499, 1860, 1048, 1860, 997,
2583 998, 1860, 1860, 1860, 1692, 1860, 1860, 1239, 1860, 779,
2584 1860, 779, 1633, 1860, 1860, 1860, 1240, 1638, 1638, 1638,
2585 1638, 1860, 997, 998, 672, 672, 672, 672, 1860, 673,
2586
2587 1860, 1239, 1860, 186, 1860, 673, 673, 673, 174, 1240,
2588 1860, 537, 673, 1619, 1619, 1619, 1619, 1708, 1709, 1709,
2589 1710, 1691, 1624, 1860, 1860, 1860, 1637, 1638, 1638, 1639,
2590 1860, 1860, 1013, 1860, 1756, 1756, 1756, 1756, 1860, 1239,
2591 1860, 1450, 186, 1860, 1691, 1860, 1860, 1860, 1240, 1711,
2592 186, 1860, 1860, 1860, 1860, 1013, 672, 672, 672, 672,
2593 1695, 673, 1860, 1239, 1860, 1450, 1860, 673, 673, 673,
2594 174, 1240, 1711, 537, 673, 1860, 1753, 1860, 1860, 1637,
2595 1638, 1638, 1639, 1695, 1648, 1649, 1649, 1650, 185, 185,
2596 1860, 1014, 1860, 185, 185, 186, 1693, 1631, 1631, 1693,
2597
2598 186, 1514, 1860, 1723, 1723, 1723, 1723, 1700, 1667, 1668,
2599 1668, 1669, 1860, 1641, 1014, 283, 283, 1860, 283, 283,
2600 283, 283, 283, 283, 283, 283, 283, 283, 283, 283,
2601 1694, 1700, 283, 287, 283, 283, 1641, 1752, 1860, 1860,
2602 1670, 1860, 1860, 1860, 1860, 1753, 1360, 1860, 1731, 970,
2603 970, 1732, 1023, 1694, 1860, 1860, 1860, 972, 283, 1860,
2604 1752, 1860, 1860, 1670, 186, 1860, 1860, 1860, 1860, 1360,
2605 1860, 1655, 1655, 1655, 1655, 1023, 1860, 1860, 1860, 1860,
2606 1538, 283, 283, 283, 1860, 283, 283, 283, 283, 283,
2607 283, 283, 283, 283, 283, 283, 283, 990, 1860, 283,
2608
2609 287, 283, 283, 1860, 1860, 1860, 991, 1685, 1686, 1686,
2610 1685, 672, 672, 672, 672, 1860, 1624, 1860, 1860, 1860,
2611 1024, 990, 1860, 1860, 1860, 283, 1860, 1860, 537, 991,
2612 1860, 1860, 1860, 1239, 1860, 1749, 1760, 1760, 1760, 1760,
2613 1860, 1860, 1240, 1024, 1671, 1606, 1606, 1674, 283, 700,
2614 700, 700, 700, 1514, 701, 1860, 1860, 1239, 1860, 1749,
2615 701, 701, 701, 174, 779, 1240, 559, 701, 1089, 1090,
2616 1089, 1089, 1766, 1766, 1766, 1766, 1860, 1860, 1794, 1675,
2617 1860, 1091, 1860, 1860, 1860, 582, 1860, 1031, 779, 1860,
2618 197, 198, 198, 199, 1092, 1671, 1606, 1606, 1671, 1860,
2619
2620 1860, 1860, 1675, 1093, 1767, 1860, 186, 1860, 780, 1860,
2621 1031, 700, 700, 700, 700, 1725, 701, 1860, 1092, 1860,
2622 1860, 990, 701, 701, 701, 174, 1093, 1767, 559, 701,
2623 1672, 1673, 1606, 1606, 1673, 1032, 1860, 1860, 1860, 1725,
2624 1726, 1727, 1727, 1726, 780, 990, 1860, 1860, 1860, 1686,
2625 1686, 1686, 1686, 1672, 1860, 1860, 186, 990, 1624, 1032,
2626 583, 584, 583, 583, 1860, 1860, 1672, 1860, 1860, 1737,
2627 1738, 1738, 1739, 585, 1752, 1239, 1744, 1744, 1744, 1744,
2628 1860, 990, 1753, 1860, 1240, 1860, 586, 1860, 1860, 1672,
2629 1860, 1860, 1860, 1450, 1860, 587, 1041, 1752, 1860, 1239,
2630
2631 1860, 1740, 1779, 1779, 1779, 1779, 1860, 1240, 1715, 1860,
2632 586, 1860, 1860, 1708, 1709, 1709, 1708, 1450, 587, 1041,
2633 1042, 1043, 1042, 1042, 1740, 1648, 1649, 1649, 1650, 1860,
2634 1808, 1715, 1860, 585, 185, 185, 1860, 1860, 1860, 1595,
2635 1860, 186, 1514, 1860, 1860, 1715, 586, 1860, 1596, 1860,
2636 1860, 1860, 1044, 1860, 1808, 587, 1860, 1860, 1709, 1709,
2637 1709, 1709, 1860, 1595, 1860, 1860, 1730, 1860, 1715, 1860,
2638 586, 1596, 1860, 1860, 1860, 1044, 1860, 1860, 587, 1053,
2639 1054, 1054, 1055, 1860, 1595, 1860, 1860, 1860, 1860, 1730,
2640 1715, 1860, 207, 1596, 1708, 1709, 1709, 1710, 1766, 1766,
2641
2642 1766, 1766, 1860, 1056, 1860, 957, 1860, 1860, 1595, 1727,
2643 1727, 1727, 1727, 1715, 958, 1860, 1596, 1860, 1450, 1731,
2644 970, 970, 1732, 1860, 1860, 186, 1711, 1056, 972, 957,
2645 1767, 672, 672, 672, 672, 186, 1860, 958, 1059, 1060,
2646 1060, 1061, 1450, 1752, 1860, 1860, 1860, 1062, 537, 1711,
2647 1860, 1753, 1860, 1767, 1761, 1762, 1762, 1763, 1737, 1738,
2648 1738, 1737, 206, 185, 185, 1860, 1752, 1860, 1209, 1860,
2649 186, 1514, 360, 1737, 1738, 1738, 1739, 206, 1860, 1860,
2650 1738, 1738, 1738, 1738, 1595, 1860, 206, 1860, 1860, 1860,
2651 1767, 1209, 1860, 1596, 1860, 360, 1860, 1450, 1860, 1860,
2652
2653 206, 583, 584, 583, 583, 1740, 1595, 1860, 1595, 1860,
2654 1860, 1860, 1767, 1767, 585, 1596, 1596, 1667, 1668, 1668,
2655 1669, 1450, 1779, 1779, 1779, 1779, 1860, 586, 1740, 1148,
2656 1595, 1851, 1851, 1851, 1852, 1767, 587, 1860, 1596, 1860,
2657 1541, 1774, 1775, 1775, 1776, 1860, 1860, 1781, 1860, 1670,
2658 1777, 586, 1860, 1148, 1860, 1360, 1860, 1860, 1860, 587,
2659 1042, 1043, 1042, 1042, 1860, 1860, 1860, 1755, 1756, 1756,
2660 1757, 1781, 1670, 585, 1860, 1459, 185, 185, 1360, 1360,
2661 1860, 185, 1860, 186, 1860, 1860, 586, 1788, 1789, 1789,
2662 1790, 1860, 1044, 1860, 1860, 587, 185, 185, 1459, 1860,
2663
2664 1860, 1860, 1360, 186, 1860, 1809, 1809, 1809, 1809, 1753,
2665 586, 1860, 1792, 1860, 1860, 1044, 1860, 1860, 587, 583,
2666 584, 583, 583, 1860, 1761, 1762, 1762, 1761, 1731, 970,
2667 970, 1764, 585, 1835, 1860, 1860, 1792, 1071, 1860, 1149,
2668 186, 1514, 1860, 1860, 186, 586, 1860, 1753, 1762, 1762,
2669 1762, 1762, 1860, 1860, 587, 1860, 1860, 1835, 1761, 1762,
2670 1762, 1763, 1860, 1149, 186, 1514, 1794, 185, 185, 586,
2671 1860, 1860, 185, 1860, 186, 1514, 1860, 587, 1152, 1153,
2672 1153, 1154, 1860, 1860, 1860, 1860, 1708, 1709, 1709, 1710,
2673 1794, 1860, 1851, 1851, 1851, 1852, 1800, 1801, 1801, 1802,
2674
2675 1794, 1541, 1157, 1860, 1155, 1803, 1788, 1789, 1789, 1790,
2676 1450, 1860, 1860, 1156, 1860, 185, 185, 1860, 1711, 1860,
2677 1450, 1860, 186, 1860, 1860, 1860, 1157, 1860, 1155, 1860,
2678 1598, 1860, 1860, 1860, 1450, 1860, 1156, 1089, 1090, 1089,
2679 1089, 1711, 1860, 1860, 1450, 1860, 1800, 1801, 1801, 1802,
2680 1091, 1860, 1860, 1598, 582, 1803, 1774, 1775, 1775, 1774,
2681 1860, 1188, 1860, 1092, 1860, 1806, 1860, 1860, 1860, 780,
2682 1450, 1860, 1093, 1860, 1860, 1775, 1775, 1775, 1775, 1860,
2683 1860, 1860, 1533, 1860, 1806, 1188, 1860, 1092, 780, 1860,
2684 1860, 1534, 1860, 1860, 1450, 1093, 1089, 1090, 1089, 1089,
2685
2686 1860, 1533, 1820, 1821, 1821, 1822, 1533, 1860, 1860, 1091,
2687 1534, 185, 185, 582, 1534, 1860, 1860, 1860, 186, 1860,
2688 1860, 1860, 1092, 1860, 1860, 1533, 197, 198, 198, 199,
2689 1189, 1093, 1860, 1534, 1860, 185, 185, 1815, 1860, 1860,
2690 1815, 1860, 186, 1860, 1860, 1860, 1092, 1860, 1860, 1860,
2691 1860, 1860, 1860, 1189, 1093, 283, 1218, 1219, 1218, 1218,
2692 283, 283, 283, 283, 283, 283, 283, 283, 283, 283,
2693 288, 1816, 283, 1128, 283, 283, 1788, 1789, 1789, 1788,
2694 1789, 1789, 1789, 1789, 1788, 1789, 1789, 1790, 1860, 1860,
2695 1860, 1860, 186, 1860, 1816, 1860, 186, 1860, 283, 1860,
2696
2697 186, 1860, 1860, 1860, 1808, 1860, 1860, 1860, 1808, 1860,
2698 1860, 1860, 1819, 1860, 1737, 1738, 1738, 1739, 1860, 1860,
2699 1860, 283, 583, 584, 583, 583, 1860, 1860, 1808, 1860,
2700 1860, 1860, 1808, 1860, 1860, 585, 1819, 1860, 1450, 1860,
2701 1830, 1831, 1831, 1832, 1234, 1860, 1740, 1860, 586, 1803,
2702 1860, 1800, 1801, 1801, 1800, 1860, 1860, 587, 1860, 1860,
2703 1806, 1860, 1450, 1860, 1450, 1860, 1860, 1860, 1234, 1740,
2704 1860, 1860, 586, 1860, 1598, 1860, 1860, 1595, 1860, 1860,
2705 587, 1269, 1270, 1269, 1269, 1860, 1596, 1088, 1450, 1860,
2706 1860, 1860, 1088, 1860, 1271, 1860, 1860, 1598, 841, 1860,
2707
2708 1088, 1595, 1801, 1801, 1801, 1801, 1860, 1272, 1860, 1596,
2709 1860, 1806, 1744, 1744, 1744, 1744, 1273, 1810, 1779, 1779,
2710 1810, 1860, 1811, 1779, 1779, 1811, 1860, 1860, 1595, 1860,
2711 1860, 1272, 1860, 1766, 1766, 1766, 1766, 1596, 1860, 1273,
2712 1089, 1090, 1089, 1089, 1715, 1836, 1815, 1860, 1860, 1815,
2713 1837, 1860, 1595, 1091, 1860, 1860, 1860, 582, 1860, 1860,
2714 1596, 1815, 1860, 1860, 1815, 1767, 1092, 1715, 1860, 1836,
2715 1860, 1860, 1860, 1274, 1837, 1093, 197, 198, 198, 199,
2716 1816, 1820, 1821, 1821, 1820, 1860, 1838, 1860, 1767, 1860,
2717 1092, 1860, 186, 1860, 1860, 1816, 1274, 186, 1093, 1089,
2718
2719 1090, 1089, 1089, 1816, 1821, 1821, 1821, 1821, 1860, 1835,
2720 1838, 1860, 1091, 1841, 1860, 1860, 582, 1860, 1816, 1860,
2721 186, 1860, 1860, 1753, 1860, 1092, 1860, 1860, 197, 198,
2722 198, 199, 1835, 1835, 1093, 1275, 1841, 185, 185, 1830,
2723 1831, 1831, 1830, 1860, 186, 1860, 1753, 1860, 1806, 1092,
2724 1860, 1860, 1860, 1860, 1860, 1860, 1835, 1093, 1275, 891,
2725 892, 892, 893, 1860, 1860, 1595, 1860, 1831, 1831, 1831,
2726 1831, 1860, 1860, 1860, 1596, 1860, 1806, 1848, 1750, 1750,
2727 1848, 779, 1860, 779, 1860, 1830, 1831, 1831, 1832, 1595,
2728 1860, 1860, 1276, 1595, 1803, 997, 1860, 1596, 1839, 1840,
2729
2730 1840, 1839, 1596, 1860, 1860, 779, 1860, 779, 1860, 1450,
2731 1860, 1816, 1860, 1860, 1860, 1276, 1860, 1595, 997, 1194,
2732 1195, 1195, 1194, 1860, 1860, 1596, 1849, 197, 198, 198,
2733 199, 1860, 780, 1450, 1816, 1860, 1840, 1840, 1840, 1840,
2734 1860, 1860, 1860, 186, 1860, 990, 1788, 1789, 1789, 1790,
2735 1849, 1280, 1860, 1860, 991, 185, 185, 1860, 1815, 1860,
2736 1860, 1815, 186, 1860, 1808, 1860, 1860, 1860, 1860, 990,
2737 1691, 1860, 1860, 1860, 1280, 1860, 1860, 991, 1195, 1195,
2738 1195, 1195, 1860, 1850, 1860, 1860, 1860, 1860, 1808, 1860,
2739 1860, 780, 1816, 1691, 1860, 1856, 1860, 1860, 1788, 1789,
2740
2741 1789, 1790, 1860, 1860, 990, 1860, 1850, 185, 185, 1860,
2742 1280, 1860, 1860, 991, 186, 1816, 1860, 1860, 1856, 1815,
2743 1860, 1860, 1815, 1860, 1860, 1860, 1860, 1860, 990, 1860,
2744 1860, 1860, 1860, 1280, 1860, 1860, 991, 1297, 1297, 1297,
2745 1297, 1860, 1298, 1860, 1860, 1860, 1860, 1860, 673, 673,
2746 673, 174, 674, 1816, 1299, 673, 1860, 1860, 1860, 1860,
2747 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1857,
2748 1779, 1779, 1857, 1860, 1860, 1860, 1816, 1860, 1860, 1301,
2749 674, 283, 1218, 1219, 1218, 1218, 283, 283, 283, 283,
2750 283, 283, 283, 283, 283, 283, 283, 1849, 283, 1128,
2751
2752 283, 283, 1860, 1816, 1860, 1860, 1860, 1860, 1860, 1860,
2753 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2754 1860, 1849, 1860, 1860, 283, 1860, 1816, 1860, 1860, 1860,
2755 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2756 1860, 1860, 1860, 1860, 1860, 1860, 1860, 283, 283, 1218,
2757 1219, 1218, 1218, 283, 283, 283, 283, 283, 283, 283,
2758 283, 283, 283, 283, 1860, 283, 1128, 283, 283, 1860,
2759 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2760 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2761 1860, 283, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2762
2763 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2764 1860, 1860, 1860, 1860, 283, 283, 1305, 1306, 1305, 1305,
2765 283, 283, 283, 283, 283, 283, 283, 283, 283, 283,
2766 288, 1860, 283, 1128, 283, 283, 1860, 1860, 1860, 1860,
2767 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2768 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 283, 1860,
2769 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2770 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2771 1860, 283, 1042, 1043, 1042, 1042, 1860, 1860, 1860, 1860,
2772 1860, 1860, 1860, 1860, 1860, 585, 1860, 1860, 1860, 1860,
2773
2774 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 586, 1860,
2775 1860, 1860, 1860, 1860, 1044, 1860, 1860, 587, 1860, 1860,
2776 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2777 1860, 1860, 586, 1860, 1860, 1860, 1860, 1044, 1860, 1860,
2778 587, 1325, 1326, 1325, 1325, 1860, 1860, 1860, 1860, 1860,
2779 1327, 1860, 1860, 1860, 585, 1860, 1860, 1860, 1860, 1860,
2780 1860, 1860, 1860, 1860, 1860, 1860, 1860, 586, 1860, 1860,
2781 1860, 1860, 1860, 1860, 1860, 728, 587, 1860, 1860, 1860,
2782 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2783 1860, 586, 1860, 1860, 1860, 1860, 1860, 1860, 728, 587,
2784
2785 1269, 1270, 1269, 1269, 1860, 1860, 1088, 1860, 1860, 1860,
2786 1860, 1088, 1860, 1271, 1860, 1860, 1860, 841, 1860, 1088,
2787 1860, 1860, 1860, 1860, 1860, 1860, 1272, 1860, 1860, 1860,
2788 1860, 1860, 1860, 1860, 1860, 1273, 1860, 1860, 1860, 1860,
2789 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2790 1272, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1273, 1089,
2791 1090, 1089, 1089, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2792 1860, 1860, 1091, 1860, 1860, 1860, 582, 1860, 1860, 1860,
2793 1860, 1860, 1860, 1188, 1860, 1092, 1860, 1860, 1860, 1860,
2794 1860, 1860, 1860, 1860, 1093, 1860, 1860, 1860, 1860, 1860,
2795
2796 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1188, 1860, 1092,
2797 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1093, 1089, 1090,
2798 1089, 1089, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2799 1860, 1091, 1860, 1860, 1860, 582, 1860, 1860, 1860, 1860,
2800 1860, 1860, 1860, 1860, 1092, 1860, 1860, 1860, 1860, 1860,
2801 1860, 1860, 1189, 1093, 1860, 1860, 1860, 1860, 1860, 1860,
2802 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1092, 1860,
2803 1860, 1860, 1860, 1860, 1860, 1189, 1093, 1089, 1090, 1089,
2804 1089, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2805 1091, 1860, 1860, 1860, 582, 1860, 1860, 1860, 1860, 1860,
2806
2807 1860, 1353, 1860, 1092, 1860, 1860, 1860, 1860, 1860, 1860,
2808 1860, 1860, 1093, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2809 1860, 1860, 1860, 1860, 1860, 1353, 1860, 1092, 1860, 1860,
2810 1860, 1860, 1860, 1860, 1860, 1093, 1089, 1090, 1089, 1089,
2811 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1091,
2812 1860, 1860, 1860, 582, 1860, 1860, 1860, 1860, 1860, 1860,
2813 1860, 1860, 1092, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2814 1860, 1093, 1860, 1860, 1354, 1860, 1860, 1860, 1860, 1860,
2815 1860, 1860, 1860, 1860, 1860, 1860, 1092, 1860, 1860, 1860,
2816 1860, 1860, 1860, 1860, 1093, 1860, 1860, 1354, 569, 1357,
2817
2818 1358, 1358, 1359, 569, 569, 569, 569, 569, 1860, 1860,
2819 569, 569, 780, 1860, 1860, 569, 1860, 569, 569, 1860,
2820 1860, 1860, 1860, 1860, 1860, 1361, 1860, 1860, 1860, 1860,
2821 1860, 1860, 1860, 1860, 1362, 1860, 1860, 1860, 1860, 1860,
2822 1860, 569, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1361,
2823 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1362, 1860, 1860,
2824 1860, 1860, 1860, 1860, 569, 891, 892, 892, 893, 1860,
2825 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2826 1860, 1860, 1860, 1860, 1860, 1860, 1860, 779, 1860, 779,
2827 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1366, 1860,
2828
2829 1860, 997, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2830 1860, 779, 1860, 779, 1860, 1860, 1860, 1860, 1860, 1860,
2831 1860, 1366, 1860, 1860, 997, 1297, 1297, 1297, 1297, 1860,
2832 1860, 1860, 1860, 1860, 1860, 1860, 673, 673, 673, 1860,
2833 673, 1860, 1299, 673, 1860, 1860, 1860, 1860, 1860, 1860,
2834 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2835 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1301, 673, 1377,
2836 1377, 1377, 1377, 1860, 1378, 1860, 1860, 1860, 1860, 1860,
2837 673, 673, 673, 174, 674, 1860, 1379, 673, 1860, 1860,
2838 1860, 1860, 1860, 1860, 1381, 1860, 1860, 1860, 1860, 1860,
2839
2840 1860, 1860, 1860, 1860, 1382, 1860, 1860, 1860, 1860, 1860,
2841 1860, 541, 674, 1860, 1860, 1860, 1860, 1860, 1381, 1860,
2842 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1382, 1385, 1385,
2843 1385, 1385, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1387,
2844 1387, 1387, 1860, 1387, 1860, 1299, 1387, 1860, 1860, 1860,
2845 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2846 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2847 1301, 1387, 1385, 1385, 1385, 1385, 1860, 1386, 1860, 1860,
2848 1860, 1860, 1860, 1387, 1387, 1387, 174, 1388, 1860, 1299,
2849 1387, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2850
2851 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2852 1860, 1860, 1860, 1860, 1301, 1388, 1390, 1860, 1860, 1390,
2853 1390, 1860, 1390, 1390, 1390, 1390, 1390, 1390, 1390, 1390,
2854 1390, 1390, 1390, 1390, 1390, 1390, 1860, 1860, 1860, 1860,
2855 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2856 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1392, 1390,
2857 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2858 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2859 1860, 1390, 283, 1305, 1306, 1305, 1305, 283, 283, 283,
2860 283, 283, 283, 283, 283, 283, 283, 283, 1860, 283,
2861
2862 1128, 283, 283, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2863 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2864 1860, 1860, 1860, 1860, 1860, 283, 1860, 1860, 1860, 1860,
2865 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2866 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 283, 1396,
2867 1396, 1396, 1396, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2868 1398, 1398, 1398, 1860, 1398, 1860, 1312, 1398, 1860, 1860,
2869 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2870 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2871 1860, 1301, 1398, 1396, 1396, 1396, 1396, 1860, 1397, 1860,
2872
2873 1860, 1860, 1860, 1860, 1398, 1398, 1398, 174, 1399, 1860,
2874 1312, 1398, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2875 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2876 1860, 1860, 1860, 1860, 1860, 1301, 1399, 1403, 1403, 1403,
2877 1403, 1860, 1404, 1860, 1860, 1860, 1860, 1860, 701, 701,
2878 701, 174, 702, 1860, 1405, 701, 1860, 1860, 1860, 1860,
2879 1860, 1860, 1407, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2880 1860, 1860, 1408, 1860, 1860, 1860, 1860, 1860, 1860, 563,
2881 702, 1860, 1860, 1860, 1860, 1860, 1407, 1860, 1860, 1860,
2882 1860, 1860, 1860, 1860, 1860, 1408, 700, 700, 700, 700,
2883
2884 1860, 701, 1860, 1860, 1860, 1860, 1860, 701, 701, 701,
2885 174, 1860, 1860, 559, 701, 1860, 1860, 1860, 1860, 1860,
2886 1409, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2887 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2888 1860, 1860, 1860, 1860, 1409, 346, 1860, 1860, 346, 346,
2889 1860, 346, 346, 346, 346, 346, 346, 346, 346, 346,
2890 346, 346, 346, 346, 346, 1860, 1860, 1860, 1410, 1860,
2891 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2892 1860, 1860, 1860, 1860, 1860, 1860, 1860, 348, 346, 1860,
2893 1860, 1860, 1410, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2894
2895 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2896 346, 1320, 1144, 1144, 1321, 346, 1860, 346, 346, 346,
2897 1322, 346, 346, 346, 346, 346, 346, 346, 346, 346,
2898 346, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2899 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2900 1860, 1860, 1860, 348, 346, 1860, 1860, 1860, 1860, 1860,
2901 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2902 1860, 1860, 1860, 1860, 1860, 1860, 346, 1411, 1324, 1324,
2903 1411, 167, 168, 167, 167, 167, 167, 167, 167, 167,
2904 167, 167, 167, 167, 167, 167, 167, 1860, 1860, 1860,
2905
2906 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2907 1860, 1860, 1412, 1860, 1860, 1860, 1860, 1860, 1860, 167,
2908 167, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2909 1860, 1860, 1860, 1860, 1860, 1412, 1860, 1860, 1860, 1860,
2910 1860, 1860, 167, 353, 354, 354, 355, 1860, 1860, 1860,
2911 1860, 1860, 1860, 1860, 1860, 1860, 207, 1860, 1860, 1860,
2912 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 210,
2913 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1414, 211, 1860,
2914 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2915 1860, 1860, 1860, 210, 1860, 1860, 1860, 1860, 1860, 1860,
2916
2917 1414, 211, 1053, 1054, 1054, 1055, 1860, 1860, 1860, 1860,
2918 1860, 1860, 1860, 1860, 1860, 207, 1860, 1860, 1860, 1860,
2919 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 957, 1860,
2920 1426, 1860, 1860, 1860, 1860, 1860, 1860, 958, 1860, 1860,
2921 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2922 1860, 1860, 957, 1860, 1426, 1860, 1860, 1860, 1860, 1860,
2923 958, 1338, 1339, 1339, 1338, 1860, 1860, 1860, 1860, 1860,
2924 1860, 1860, 1860, 1860, 207, 1860, 1860, 1860, 1860, 1860,
2925 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1159, 1860, 1860,
2926 1860, 1860, 1860, 1427, 1860, 1860, 1160, 1860, 1860, 1860,
2927
2928 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2929 1860, 1159, 1860, 1860, 1860, 1860, 1427, 1860, 1860, 1160,
2930 1338, 1339, 1339, 1340, 1860, 1860, 1860, 1860, 1860, 1860,
2931 1860, 1860, 1860, 207, 1860, 1860, 1860, 1860, 1860, 1860,
2932 1860, 1860, 1860, 1860, 1860, 1860, 957, 1860, 1860, 1860,
2933 1860, 1860, 1341, 1860, 1860, 958, 1860, 1860, 1860, 1860,
2934 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2935 957, 1860, 1860, 1860, 1860, 1341, 1860, 1860, 958, 1434,
2936 1435, 1435, 1436, 1860, 214, 1860, 1860, 1860, 185, 185,
2937 214, 214, 214, 202, 1860, 216, 1860, 214, 212, 198,
2938
2939 198, 213, 1860, 214, 1860, 1860, 1860, 185, 185, 214,
2940 214, 214, 202, 1860, 216, 1860, 214, 1860, 1860, 1860,
2941 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2942 1860, 1437, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2943 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2944 1860, 1860, 1860, 1860, 1437, 1441, 1324, 1324, 1441, 223,
2945 1860, 223, 223, 223, 223, 223, 223, 223, 223, 223,
2946 223, 223, 223, 223, 223, 1860, 1860, 1860, 1860, 1860,
2947 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2948 1860, 1860, 1860, 1860, 1860, 1860, 1860, 225, 223, 1860,
2949
2950 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2951 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2952 223, 1089, 1090, 1089, 1089, 1860, 1860, 1860, 1860, 1860,
2953 1860, 1860, 1860, 1860, 1091, 1860, 1860, 1860, 582, 1860,
2954 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1092, 1860, 1860,
2955 1860, 1860, 1860, 1860, 1860, 1860, 1093, 1443, 1860, 1860,
2956 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2957 1860, 1092, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1093,
2958 1443, 1444, 1445, 1444, 1444, 1860, 1860, 1860, 1860, 1860,
2959 1860, 1860, 1860, 1860, 1091, 1860, 1860, 1860, 582, 1860,
2960
2961 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1092, 1860, 1860,
2962 1860, 1860, 1860, 1446, 1860, 1860, 1093, 1860, 1860, 1860,
2963 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2964 1860, 1092, 1860, 1860, 1860, 1860, 1446, 1860, 1860, 1093,
2965 569, 891, 892, 892, 893, 569, 569, 569, 569, 569,
2966 1860, 1860, 569, 569, 780, 1860, 1860, 569, 1860, 569,
2967 569, 1860, 1860, 1860, 1860, 1860, 1860, 783, 1860, 1860,
2968 1860, 1860, 1860, 1860, 1447, 1860, 890, 1860, 1860, 1860,
2969 1860, 1860, 1860, 569, 1860, 1860, 1860, 1860, 1860, 1860,
2970 1860, 783, 1860, 1860, 1860, 1860, 1860, 1447, 1860, 890,
2971
2972 1860, 1860, 1860, 1860, 1860, 1860, 569, 569, 891, 892,
2973 892, 893, 569, 569, 569, 569, 569, 1860, 1860, 569,
2974 569, 780, 1860, 1860, 569, 1860, 569, 569, 1860, 1860,
2975 1860, 1860, 1860, 1860, 783, 1860, 1860, 1860, 1860, 1860,
2976 1860, 1860, 1860, 890, 1448, 1860, 1860, 1860, 1860, 1860,
2977 569, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 783, 1860,
2978 1860, 1860, 1860, 1860, 1860, 1860, 890, 1448, 1860, 1860,
2979 1860, 1860, 1860, 569, 569, 1357, 1358, 1358, 1449, 569,
2980 569, 569, 569, 569, 1860, 1860, 569, 569, 780, 1860,
2981 1860, 569, 1860, 569, 569, 1860, 1860, 1860, 1860, 1860,
2982
2983 1860, 1451, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2984 1452, 1860, 1860, 1860, 1860, 1860, 1860, 569, 1860, 1860,
2985 1860, 1860, 1860, 1860, 1860, 1451, 1860, 1860, 1860, 1860,
2986 1860, 1860, 1860, 1452, 1860, 1860, 1860, 1860, 1860, 1860,
2987 569, 569, 1453, 1358, 1358, 1454, 569, 569, 569, 569,
2988 569, 1860, 1860, 569, 569, 780, 1860, 1860, 569, 1860,
2989 569, 569, 1860, 1860, 1860, 1860, 1860, 1860, 1451, 1860,
2990 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1452, 1860, 1860,
2991 1860, 1860, 1860, 1860, 569, 1860, 1860, 1860, 1860, 1860,
2992 1860, 1860, 1451, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
2993
2994 1452, 1860, 1860, 1860, 1860, 1860, 1860, 569, 569, 1357,
2995 1358, 1358, 1359, 569, 569, 569, 569, 569, 1860, 1860,
2996 569, 569, 780, 1860, 1860, 569, 1860, 569, 569, 1860,
2997 1860, 1860, 1860, 1860, 1860, 1361, 1860, 1860, 1860, 1860,
2998 1860, 1860, 1860, 1860, 1362, 1860, 1860, 1860, 1860, 1860,
2999 1860, 569, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1361,
3000 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1362, 1860, 1860,
3001 1860, 1860, 1860, 1860, 569, 569, 1455, 1456, 1456, 1457,
3002 569, 569, 569, 569, 569, 1860, 1860, 569, 569, 780,
3003 1860, 1860, 569, 1860, 569, 569, 1860, 1860, 1860, 1860,
3004
3005 1860, 1860, 1361, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3006 1860, 1362, 1860, 1860, 1860, 1860, 1860, 1860, 569, 1860,
3007 1860, 1860, 1860, 1860, 1860, 1860, 1361, 1860, 1860, 1860,
3008 1860, 1860, 1860, 1860, 1362, 1860, 1860, 1860, 1860, 1860,
3009 1860, 569, 1377, 1377, 1377, 1377, 1860, 1860, 1860, 1860,
3010 1860, 1860, 1860, 673, 673, 673, 1860, 673, 1860, 1379,
3011 673, 1860, 1860, 1860, 1860, 1860, 1860, 1474, 1860, 1860,
3012 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1475, 1860, 1860,
3013 1860, 1860, 1860, 1860, 541, 673, 1860, 1860, 1860, 1860,
3014 1860, 1474, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3015
3016 1475, 672, 672, 672, 672, 1860, 673, 1860, 1860, 1860,
3017 1860, 1860, 673, 673, 673, 174, 1860, 1860, 537, 673,
3018 672, 672, 672, 672, 1860, 673, 1860, 1860, 1860, 1860,
3019 1860, 673, 673, 673, 174, 1860, 1860, 537, 673, 1860,
3020 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3021 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 675,
3022 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3023 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3024 1860, 1860, 675, 1377, 1377, 1377, 1377, 1860, 1378, 1860,
3025 1860, 1860, 1860, 1860, 673, 673, 673, 174, 674, 1860,
3026
3027 1379, 673, 1860, 1860, 1860, 1860, 1860, 1860, 1381, 1860,
3028 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1382, 1860,
3029 1860, 1860, 1860, 1860, 1860, 541, 674, 1860, 1860, 1860,
3030 1860, 1860, 1381, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3031 1860, 1382, 1385, 1385, 1385, 1385, 1860, 1860, 1860, 1860,
3032 1860, 1860, 1860, 1387, 1387, 1387, 1860, 1387, 1860, 1299,
3033 1387, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3034 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3035 1860, 1860, 1860, 1860, 1301, 1387, 1385, 1385, 1385, 1385,
3036 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1387, 1387, 1387,
3037
3038 1860, 1387, 1860, 1299, 1387, 1860, 1860, 1860, 1860, 1860,
3039 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3040 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1301, 1387,
3041 1385, 1385, 1385, 1385, 1860, 1386, 1860, 1860, 1860, 1860,
3042 1860, 1387, 1387, 1387, 174, 1388, 1860, 1299, 1387, 1860,
3043 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3044 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3045 1860, 1860, 1301, 1388, 1385, 1385, 1385, 1385, 1860, 1386,
3046 1860, 1860, 1860, 1860, 1860, 1387, 1387, 1387, 174, 1388,
3047 1860, 1299, 1387, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3048
3049 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3050 1860, 1860, 1860, 1860, 1860, 1860, 1301, 1388, 1390, 1860,
3051 1860, 1390, 1390, 1860, 1390, 1390, 1390, 1390, 1390, 1390,
3052 1390, 1390, 1390, 1390, 1390, 1390, 1390, 1390, 1860, 1860,
3053 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3054 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3055 1392, 1390, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3056 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3057 1860, 1860, 1860, 1390, 1390, 1860, 1860, 1390, 1390, 1860,
3058 1390, 1390, 1390, 1390, 1390, 1390, 1390, 1390, 1390, 1390,
3059
3060 1390, 1390, 1390, 1390, 1860, 1860, 1860, 1860, 1860, 1860,
3061 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3062 1860, 1860, 1860, 1860, 1860, 1860, 1392, 1390, 1860, 1860,
3063 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3064 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1390,
3065 1482, 1396, 1396, 1482, 1390, 1311, 1390, 1390, 1390, 1390,
3066 1390, 1390, 1390, 1390, 1390, 1390, 1390, 1483, 1390, 1390,
3067 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3068 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3069 1860, 1860, 1485, 1390, 1860, 1860, 1860, 1860, 1860, 1860,
3070
3071 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3072 1860, 1860, 1860, 1860, 1860, 1390, 1396, 1396, 1396, 1396,
3073 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1398, 1398, 1398,
3074 1860, 1398, 1860, 1312, 1398, 1860, 1860, 1860, 1860, 1860,
3075 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3076 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1301, 1398,
3077 1396, 1396, 1396, 1396, 1860, 1860, 1860, 1860, 1860, 1860,
3078 1860, 1398, 1398, 1398, 1860, 1398, 1860, 1312, 1398, 1860,
3079 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3080 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3081
3082 1860, 1860, 1301, 1398, 1396, 1396, 1396, 1396, 1860, 1397,
3083 1860, 1860, 1860, 1860, 1860, 1398, 1398, 1398, 174, 1399,
3084 1860, 1312, 1398, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3085 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3086 1860, 1860, 1860, 1860, 1860, 1860, 1301, 1399, 1396, 1396,
3087 1396, 1396, 1860, 1397, 1860, 1860, 1860, 1860, 1860, 1398,
3088 1398, 1398, 174, 1399, 1860, 1312, 1398, 1860, 1860, 1860,
3089 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3090 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3091 1301, 1399, 1403, 1403, 1403, 1403, 1860, 1860, 1860, 1860,
3092
3093 1860, 1860, 1860, 701, 701, 701, 1860, 701, 1860, 1405,
3094 701, 1860, 1860, 1860, 1860, 1860, 1860, 1488, 1860, 1860,
3095 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1489, 1860, 1860,
3096 1860, 1860, 1860, 1860, 563, 701, 1860, 1860, 1860, 1860,
3097 1860, 1488, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3098 1489, 700, 700, 700, 700, 1860, 701, 1860, 1860, 1860,
3099 1860, 1860, 701, 701, 701, 174, 1860, 1860, 559, 701,
3100 700, 700, 700, 700, 1860, 701, 1860, 1860, 1860, 1860,
3101 1860, 701, 701, 701, 174, 1860, 1860, 559, 701, 1860,
3102 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3103
3104 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 703,
3105 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3106 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3107 1860, 1860, 703, 1403, 1403, 1403, 1403, 1860, 1404, 1860,
3108 1860, 1860, 1860, 1860, 701, 701, 701, 174, 702, 1860,
3109 1405, 701, 1860, 1860, 1860, 1860, 1860, 1860, 1407, 1860,
3110 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1408, 1860,
3111 1860, 1860, 1860, 1860, 1860, 563, 702, 1860, 1860, 1860,
3112 1860, 1860, 1407, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3113 1860, 1408, 1491, 1324, 1324, 1491, 346, 1860, 346, 346,
3114
3115 346, 346, 346, 346, 346, 346, 346, 346, 346, 346,
3116 346, 346, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3117 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3118 1860, 1860, 1860, 1860, 348, 346, 1860, 1860, 1860, 1860,
3119 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3120 1860, 1860, 1860, 1860, 1860, 1860, 1860, 346, 1411, 1324,
3121 1324, 1411, 167, 168, 167, 167, 167, 167, 167, 167,
3122 167, 167, 167, 167, 167, 167, 167, 167, 1860, 1860,
3123 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3124 1860, 1860, 1860, 1412, 1860, 1860, 1860, 1860, 1860, 1860,
3125
3126 167, 167, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3127 1860, 1860, 1860, 1860, 1860, 1860, 1412, 1860, 1860, 1860,
3128 1860, 1860, 1860, 167, 167, 1860, 1860, 167, 167, 168,
3129 167, 167, 167, 167, 167, 167, 167, 167, 167, 167,
3130 167, 167, 167, 167, 1860, 1860, 1860, 1860, 1860, 1860,
3131 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3132 460, 1860, 1860, 1860, 1860, 1860, 167, 167, 1860, 1860,
3133 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3134 1860, 1860, 1860, 460, 1860, 1860, 1860, 1860, 1860, 167,
3135 353, 354, 354, 355, 1860, 1860, 1860, 1860, 1860, 1860,
3136
3137 1860, 1860, 1860, 207, 1860, 1860, 1860, 1860, 1860, 1860,
3138 1860, 1860, 1860, 1860, 1860, 1860, 210, 1860, 1860, 1860,
3139 1860, 1860, 1860, 1492, 1860, 211, 1860, 1860, 1860, 1860,
3140 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3141 210, 1860, 1860, 1860, 1860, 1860, 1492, 1860, 211, 1053,
3142 1054, 1054, 1055, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3143 1860, 1860, 207, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3144 1860, 1504, 1860, 1860, 1860, 957, 1860, 1860, 1860, 1860,
3145 1860, 1860, 1860, 1860, 958, 1860, 1860, 1860, 1860, 1860,
3146 1860, 1860, 1860, 1860, 1860, 1504, 1860, 1860, 1860, 957,
3147
3148 1860, 1860, 1860, 1860, 1860, 1860, 1860, 958, 353, 354,
3149 354, 355, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3150 1860, 207, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3151 1860, 1860, 1860, 1860, 210, 1860, 1860, 1860, 1860, 1860,
3152 1860, 1860, 360, 211, 470, 1860, 1860, 1860, 1860, 1860,
3153 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 210, 1860,
3154 1860, 1860, 1860, 1860, 1860, 360, 211, 470, 1510, 1511,
3155 1511, 1512, 1860, 214, 1860, 1860, 1860, 185, 185, 214,
3156 214, 214, 202, 1860, 216, 1860, 214, 1519, 1324, 1324,
3157 1519, 223, 1860, 223, 223, 223, 223, 223, 223, 223,
3158
3159 223, 223, 223, 223, 223, 223, 223, 1860, 1860, 1860,
3160 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3161 1860, 1860, 1520, 1860, 1860, 1860, 1860, 1860, 1860, 225,
3162 223, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3163 1860, 1860, 1860, 1860, 1860, 1520, 1860, 1860, 1860, 1860,
3164 1860, 1860, 223, 1089, 1090, 1089, 1089, 1860, 1860, 1860,
3165 1860, 1860, 1860, 1860, 1860, 1860, 1091, 1860, 1860, 1860,
3166 582, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1092,
3167 1860, 1522, 1860, 1860, 1860, 1860, 1860, 1860, 1093, 1860,
3168 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3169
3170 1860, 1860, 1860, 1092, 1860, 1522, 1860, 1860, 1860, 1860,
3171 1860, 1093, 1444, 1445, 1444, 1444, 1860, 1860, 1860, 1860,
3172 1860, 1860, 1860, 1860, 1860, 1091, 1860, 1860, 1860, 582,
3173 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1092, 1860,
3174 1860, 1860, 1860, 1860, 1446, 1860, 1860, 1093, 1860, 1860,
3175 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3176 1860, 1860, 1092, 1860, 1860, 1860, 1860, 1446, 1860, 1860,
3177 1093, 1089, 1090, 1089, 1089, 1860, 1860, 1860, 1860, 1860,
3178 1860, 1860, 1860, 1860, 1091, 1860, 1860, 1860, 582, 1860,
3179 1860, 1523, 1860, 1860, 1860, 1860, 1860, 1092, 1860, 1860,
3180
3181 1860, 1860, 1860, 1860, 1860, 1860, 1093, 1860, 1860, 1860,
3182 1860, 1860, 1860, 1860, 1860, 1523, 1860, 1860, 1860, 1860,
3183 1860, 1092, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1093,
3184 569, 891, 892, 892, 893, 569, 569, 569, 569, 569,
3185 1860, 1860, 569, 569, 780, 1860, 1860, 569, 1860, 569,
3186 569, 1860, 1860, 1860, 1860, 1860, 1860, 783, 1860, 1860,
3187 1860, 1860, 1860, 1860, 1860, 1860, 890, 1860, 1860, 1524,
3188 1860, 1860, 1860, 569, 1860, 1860, 1860, 1860, 1860, 1860,
3189 1860, 783, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 890,
3190 1860, 1860, 1524, 1860, 1860, 1860, 569, 569, 891, 892,
3191
3192 892, 893, 569, 569, 569, 569, 569, 1860, 1860, 569,
3193 569, 780, 1860, 1860, 569, 1860, 569, 569, 1525, 1860,
3194 1860, 1860, 1860, 1860, 783, 1860, 1860, 1860, 1860, 1860,
3195 1860, 1860, 1860, 890, 1860, 1860, 1860, 1860, 1860, 1860,
3196 569, 1860, 1525, 1860, 1860, 1860, 1860, 1860, 783, 1860,
3197 1860, 1860, 1860, 1860, 1860, 1860, 890, 1860, 1860, 1860,
3198 1860, 1860, 1860, 569, 569, 1357, 1358, 1358, 1449, 569,
3199 569, 569, 569, 569, 1860, 1860, 569, 569, 780, 1860,
3200 1860, 569, 1860, 569, 569, 1860, 1860, 1860, 1860, 1860,
3201 1860, 1451, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3202
3203 1452, 1860, 1860, 1860, 1860, 1860, 1860, 569, 1860, 1860,
3204 1860, 1860, 1860, 1860, 1860, 1451, 1860, 1860, 1860, 1860,
3205 1860, 1860, 1860, 1452, 1860, 1860, 1860, 1860, 1860, 1860,
3206 569, 569, 1526, 1527, 1527, 1528, 569, 569, 569, 569,
3207 569, 1860, 1860, 569, 569, 569, 1860, 1860, 569, 1860,
3208 569, 569, 1860, 1860, 1860, 1860, 1860, 1860, 1529, 1860,
3209 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1530, 1860, 1860,
3210 1860, 1860, 1860, 1860, 569, 1860, 1860, 1860, 1860, 1860,
3211 1860, 1860, 1529, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3212 1530, 1860, 1860, 1860, 1860, 1860, 1860, 569, 569, 1455,
3213
3214 1456, 1456, 1457, 569, 569, 569, 569, 569, 1860, 1860,
3215 569, 569, 780, 1860, 1860, 569, 1860, 569, 569, 1860,
3216 1860, 1860, 1860, 1860, 1860, 1361, 1860, 1860, 1860, 1860,
3217 1860, 1860, 1860, 1860, 1362, 1860, 1860, 1860, 1860, 1860,
3218 1860, 569, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1361,
3219 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1362, 1860, 1860,
3220 1860, 1860, 1860, 1860, 569, 569, 1455, 1456, 1456, 1457,
3221 569, 569, 569, 569, 569, 1860, 1860, 569, 569, 780,
3222 1860, 1860, 569, 1860, 569, 569, 1860, 1860, 1860, 1860,
3223 1860, 1860, 1361, 1860, 1860, 1860, 1860, 1860, 1860, 1535,
3224
3225 1860, 1362, 1860, 1860, 1860, 1860, 1860, 1860, 569, 1860,
3226 1860, 1860, 1860, 1860, 1860, 1860, 1361, 1860, 1860, 1860,
3227 1860, 1860, 1535, 1860, 1362, 1860, 1860, 1860, 1860, 1860,
3228 1860, 569, 1377, 1377, 1377, 1377, 1860, 1860, 1860, 1860,
3229 1860, 1860, 1860, 673, 673, 673, 1860, 673, 1860, 1379,
3230 673, 1860, 1860, 1860, 1860, 1860, 1860, 1474, 1860, 1860,
3231 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1475, 1860, 1860,
3232 1860, 1860, 1860, 1860, 541, 673, 1860, 1860, 1860, 1860,
3233 1860, 1474, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3234 1475, 672, 672, 672, 672, 1860, 673, 1860, 1860, 1860,
3235
3236 1860, 1860, 673, 673, 673, 174, 1860, 1860, 537, 673,
3237 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3238 1860, 1860, 1860, 1860, 1860, 1550, 1860, 1860, 1860, 1860,
3239 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3240 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1550, 672,
3241 672, 672, 672, 1860, 673, 1860, 1860, 1860, 1860, 1860,
3242 673, 673, 673, 174, 1860, 1860, 537, 673, 1860, 1860,
3243 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3244 1860, 1860, 1860, 676, 1860, 1551, 1860, 1860, 1860, 1860,
3245 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3246
3247 1860, 1860, 1860, 1860, 1860, 1860, 676, 1860, 1551, 1482,
3248 1396, 1396, 1482, 1390, 1860, 1390, 1390, 1390, 1390, 1390,
3249 1390, 1390, 1390, 1390, 1390, 1390, 1483, 1390, 1390, 1860,
3250 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3251 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3252 1860, 1392, 1390, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3253 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3254 1860, 1860, 1860, 1860, 1390, 1390, 1860, 1860, 1390, 1390,
3255 1860, 1390, 1390, 1390, 1390, 1390, 1390, 1390, 1390, 1390,
3256 1390, 1390, 1390, 1390, 1390, 1860, 1860, 1860, 1860, 1860,
3257
3258 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3259 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1392, 1390, 1860,
3260 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3261 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3262 1390, 1482, 1396, 1396, 1482, 1390, 1397, 1390, 1390, 1390,
3263 1390, 1390, 1552, 1552, 1552, 1390, 1552, 1390, 1483, 1552,
3264 1390, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3265 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3266 1860, 1860, 1860, 1485, 1552, 1860, 1860, 1860, 1860, 1860,
3267 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3268
3269 1860, 1860, 1860, 1860, 1860, 1860, 1390, 1482, 1396, 1396,
3270 1482, 1390, 1311, 1390, 1390, 1390, 1390, 1390, 1390, 1390,
3271 1390, 1390, 1390, 1390, 1483, 1390, 1390, 1860, 1860, 1860,
3272 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3273 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1485,
3274 1390, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3275 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3276 1860, 1860, 1390, 1403, 1403, 1403, 1403, 1860, 1860, 1860,
3277 1860, 1860, 1860, 1860, 701, 701, 701, 1860, 701, 1860,
3278 1405, 701, 1860, 1860, 1860, 1860, 1860, 1860, 1488, 1860,
3279
3280 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1489, 1860,
3281 1860, 1860, 1860, 1860, 1860, 563, 701, 1860, 1860, 1860,
3282 1860, 1860, 1488, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3283 1860, 1489, 1555, 1324, 1324, 1555, 346, 1860, 346, 346,
3284 346, 346, 346, 346, 346, 346, 346, 346, 346, 346,
3285 346, 346, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3286 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1556, 1860, 1860,
3287 1860, 1860, 1860, 1860, 348, 346, 1860, 1860, 1860, 1860,
3288 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3289 1556, 1860, 1860, 1860, 1860, 1860, 1860, 346, 1338, 1339,
3290
3291 1339, 1340, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3292 1860, 207, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3293 1860, 1860, 1860, 1860, 957, 1860, 1860, 1860, 1860, 1860,
3294 1341, 1860, 1860, 958, 1860, 1860, 1860, 1860, 1860, 1860,
3295 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 957, 1860,
3296 1860, 1860, 1860, 1341, 1860, 1860, 958, 1573, 1860, 1860,
3297 1573, 1573, 1860, 1573, 1573, 1573, 1573, 1573, 1573, 1573,
3298 1573, 1573, 1573, 1573, 1573, 1573, 1573, 1860, 1860, 1860,
3299 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3300 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1575,
3301
3302 1573, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3303 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3304 1860, 1860, 1573, 1515, 1516, 1516, 1517, 1860, 1860, 1860,
3305 1860, 1860, 185, 185, 1860, 1860, 1860, 185, 1860, 186,
3306 1514, 1860, 1860, 1860, 1588, 1860, 1860, 1860, 1860, 1860,
3307 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3308 1860, 1860, 1860, 1860, 1860, 1586, 1860, 1860, 1588, 1519,
3309 1324, 1324, 1519, 223, 1860, 223, 223, 223, 223, 223,
3310 223, 223, 223, 223, 223, 223, 223, 223, 223, 1860,
3311 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3312
3313 1860, 1860, 1860, 1860, 1520, 1860, 1860, 1860, 1860, 1860,
3314 1860, 225, 223, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3315 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1520, 1860, 1860,
3316 1860, 1860, 1860, 1860, 223, 223, 1860, 1860, 223, 223,
3317 1860, 223, 223, 223, 223, 223, 223, 223, 223, 223,
3318 223, 223, 223, 223, 223, 1860, 1860, 1860, 1860, 1860,
3319 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3320 1860, 607, 1860, 1860, 1860, 1860, 1860, 225, 223, 1860,
3321 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3322 1860, 1860, 1860, 1860, 607, 1860, 1860, 1860, 1860, 1860,
3323
3324 223, 1089, 1090, 1089, 1089, 1860, 1860, 1860, 1860, 1860,
3325 1860, 1860, 1860, 1860, 1091, 1860, 1860, 1860, 582, 1860,
3326 1860, 1860, 1860, 1590, 1860, 1860, 1860, 1092, 1860, 1860,
3327 1860, 1860, 1860, 1860, 1860, 1860, 1093, 1860, 1860, 1860,
3328 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1590, 1860, 1860,
3329 1860, 1092, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1093,
3330 569, 1526, 1527, 1527, 1528, 569, 569, 569, 569, 569,
3331 1860, 1860, 569, 569, 569, 1860, 1860, 569, 1860, 569,
3332 569, 1860, 1860, 1860, 1860, 1860, 1860, 1529, 1860, 1860,
3333 1860, 1860, 1860, 1860, 1860, 1860, 1530, 1860, 1860, 1860,
3334
3335 1860, 1860, 1860, 569, 1860, 1860, 1860, 1860, 1860, 1860,
3336 1860, 1529, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1530,
3337 1860, 1860, 1860, 1860, 1860, 1860, 569, 569, 1526, 1527,
3338 1527, 1528, 569, 569, 569, 569, 569, 1860, 1860, 569,
3339 569, 569, 1860, 1860, 569, 1860, 569, 569, 1860, 1860,
3340 1860, 1860, 1597, 1860, 1529, 1860, 1860, 1860, 1860, 1860,
3341 1860, 1860, 1860, 1530, 1860, 1860, 1860, 1860, 1860, 1860,
3342 569, 1860, 1860, 1860, 1860, 1860, 1597, 1860, 1529, 1860,
3343 1860, 1860, 1860, 1860, 1860, 1860, 1530, 1860, 1860, 1860,
3344 1860, 1860, 1860, 569, 569, 1455, 1456, 1456, 1457, 569,
3345
3346 569, 569, 569, 569, 1860, 1860, 569, 569, 780, 1860,
3347 1860, 569, 1860, 569, 569, 1860, 1860, 1860, 1860, 1603,
3348 1860, 1361, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3349 1362, 1860, 1860, 1860, 1860, 1860, 1860, 569, 1860, 1860,
3350 1860, 1860, 1860, 1603, 1860, 1361, 1860, 1860, 1860, 1860,
3351 1860, 1860, 1860, 1362, 1860, 1860, 1860, 1860, 1860, 1860,
3352 569, 569, 1455, 1456, 1456, 1457, 569, 569, 569, 569,
3353 569, 1860, 1860, 569, 569, 780, 1860, 1860, 569, 1860,
3354 569, 569, 1860, 1860, 1860, 1860, 1860, 1860, 1361, 1860,
3355 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1362, 1860, 1860,
3356
3357 1604, 1860, 1860, 1860, 569, 1860, 1860, 1860, 1860, 1860,
3358 1860, 1860, 1361, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3359 1362, 1860, 1860, 1604, 1860, 1860, 1860, 569, 672, 672,
3360 672, 672, 1860, 673, 1860, 1860, 1860, 1860, 1860, 673,
3361 673, 673, 174, 1860, 1860, 537, 673, 1860, 1860, 1860,
3362 1611, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3363 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3364 1860, 1860, 1860, 1860, 1611, 672, 672, 672, 672, 1860,
3365 673, 1860, 1860, 1860, 1860, 1860, 673, 673, 673, 174,
3366 1860, 1860, 537, 673, 1860, 1860, 1860, 1860, 1860, 1860,
3367
3368 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1612,
3369 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3370 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3371 1860, 1860, 1612, 1482, 1396, 1396, 1482, 1390, 1397, 1390,
3372 1390, 1390, 1390, 1390, 1552, 1552, 1552, 1390, 1552, 1390,
3373 1483, 1552, 1390, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3374 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3375 1860, 1860, 1860, 1860, 1860, 1485, 1552, 1860, 1860, 1860,
3376 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3377 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1390, 1555,
3378
3379 1324, 1324, 1555, 346, 1860, 346, 346, 346, 346, 346,
3380 346, 346, 346, 346, 346, 346, 346, 346, 346, 1860,
3381 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3382 1860, 1860, 1860, 1860, 1556, 1860, 1860, 1860, 1860, 1860,
3383 1860, 348, 346, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3384 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1556, 1860, 1860,
3385 1860, 1860, 1860, 1860, 346, 1618, 1619, 1619, 1620, 1860,
3386 1860, 1860, 1860, 1860, 1621, 1860, 1860, 1860, 1860, 1860,
3387 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3388 1860, 1155, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1242,
3389
3390 1156, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3391 1860, 1860, 1860, 1860, 1860, 1155, 1860, 1860, 1860, 1860,
3392 1860, 1860, 1242, 1156, 1573, 1860, 1860, 1573, 1573, 1860,
3393 1573, 1573, 1573, 1573, 1573, 1573, 1573, 1573, 1573, 1573,
3394 1573, 1573, 1573, 1573, 1860, 1860, 1860, 1860, 1860, 1860,
3395 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3396 1860, 1860, 1860, 1860, 1860, 1860, 1575, 1573, 1860, 1860,
3397 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3398 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1573,
3399 1576, 1577, 1577, 1578, 1860, 1860, 1860, 1860, 1860, 185,
3400
3401 185, 1860, 1860, 1860, 1860, 1860, 186, 1860, 1860, 1860,
3402 1860, 1860, 1860, 1860, 1860, 1630, 1860, 1860, 1860, 1860,
3403 1860, 1860, 1860, 1860, 1580, 1860, 1860, 1860, 1860, 1860,
3404 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1630,
3405 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1580, 1634, 1860,
3406 1860, 1634, 1634, 1860, 1634, 1634, 1634, 1634, 1634, 1634,
3407 1634, 1634, 1634, 1634, 1634, 1634, 1634, 1634, 1860, 1860,
3408 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3409 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3410 1636, 1634, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3411
3412 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3413 1860, 1860, 1860, 1634, 1645, 1860, 1860, 1645, 1645, 1860,
3414 1645, 1645, 1645, 1645, 1645, 1645, 1645, 1645, 1645, 1645,
3415 1645, 1645, 1645, 1645, 1860, 1860, 1860, 1860, 1860, 1860,
3416 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3417 1860, 1860, 1860, 1860, 1860, 1860, 1647, 1645, 1860, 1860,
3418 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3419 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1645,
3420 1444, 1445, 1444, 1444, 1860, 1860, 1860, 1860, 1860, 1860,
3421 1860, 1860, 1860, 1091, 1860, 1860, 1860, 582, 1860, 1860,
3422
3423 1860, 1860, 1860, 1860, 1860, 1860, 1092, 1860, 1860, 1860,
3424 1860, 1860, 1446, 1860, 1860, 1093, 1860, 1860, 1860, 1860,
3425 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3426 1092, 1860, 1860, 1860, 1860, 1446, 1860, 1860, 1093, 1654,
3427 1655, 1654, 1654, 1860, 1860, 1860, 1860, 1860, 1656, 1860,
3428 1860, 1860, 1091, 1860, 1860, 1860, 582, 1860, 1860, 1860,
3429 1860, 1860, 1860, 1860, 1860, 1092, 1860, 1860, 1860, 1860,
3430 1860, 1860, 1860, 1189, 1093, 1860, 1860, 1860, 1860, 1860,
3431 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1092,
3432 1860, 1860, 1860, 1860, 1860, 1860, 1189, 1093, 1634, 1860,
3433
3434 1860, 1634, 1634, 1860, 1634, 1634, 1634, 1634, 1634, 1634,
3435 1634, 1634, 1634, 1634, 1634, 1634, 1634, 1634, 1860, 1860,
3436 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3437 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3438 1636, 1634, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3439 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3440 1860, 1860, 1860, 1634, 1637, 1638, 1638, 1639, 1860, 1860,
3441 1860, 1860, 1860, 185, 185, 1860, 1860, 1860, 1860, 1860,
3442 186, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1696,
3443 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1641, 1860,
3444
3445 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3446 1860, 1860, 1860, 1696, 1860, 1860, 1860, 1860, 1860, 1860,
3447 1860, 1641, 1645, 1860, 1860, 1645, 1645, 1860, 1645, 1645,
3448 1645, 1645, 1645, 1645, 1645, 1645, 1645, 1645, 1645, 1645,
3449 1645, 1645, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3450 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3451 1860, 1860, 1860, 1860, 1647, 1645, 1860, 1860, 1860, 1860,
3452 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3453 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1645, 1654, 1655,
3454 1654, 1654, 1860, 1860, 1860, 1860, 1860, 1656, 1860, 1860,
3455
3456 1860, 1091, 1860, 1860, 1860, 582, 1860, 1860, 1860, 1860,
3457 1860, 1860, 1860, 1860, 1092, 1860, 1860, 1860, 1860, 1860,
3458 1860, 1860, 1860, 1093, 1860, 1860, 1860, 1860, 1860, 1860,
3459 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1092, 1860,
3460 1860, 1860, 1860, 1860, 1860, 1860, 1093, 1667, 1668, 1668,
3461 1667, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3462 780, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3463 1860, 1860, 1860, 1533, 1860, 1860, 1860, 1860, 1860, 1715,
3464 1860, 1860, 1534, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3465 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1533, 1860, 1860,
3466
3467 1860, 1860, 1715, 1860, 1860, 1534, 1668, 1668, 1668, 1668,
3468 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 780,
3469 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3470 1860, 1860, 1533, 1860, 1860, 1860, 1860, 1860, 1715, 1860,
3471 1860, 1534, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3472 1860, 1860, 1860, 1860, 1860, 1860, 1533, 1860, 1860, 1860,
3473 1860, 1715, 1860, 1860, 1534, 1726, 1727, 1727, 1728, 1860,
3474 1860, 1860, 1860, 1860, 185, 185, 1860, 1860, 1860, 185,
3475 1860, 186, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3476 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1759,
3477
3478 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1753, 1860, 1860,
3479 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3480 1860, 1860, 1759, 1782, 1860, 1860, 1782, 1782, 1860, 1782,
3481 1782, 1782, 1782, 1782, 1782, 1782, 1782, 1782, 1782, 1782,
3482 1782, 1782, 1782, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3483 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3484 1860, 1860, 1860, 1860, 1860, 1784, 1782, 1860, 1860, 1860,
3485 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3486 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1782, 1754,
3487 1750, 1750, 1754, 1634, 1860, 1634, 1634, 1634, 1634, 1634,
3488
3489 1634, 1634, 1634, 1634, 1785, 1634, 1634, 1634, 1634, 1860,
3490 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3491 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3492 1860, 1787, 1785, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3493 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3494 1860, 1860, 1860, 1860, 1634, 1782, 1860, 1860, 1782, 1782,
3495 1860, 1782, 1782, 1782, 1782, 1782, 1782, 1782, 1782, 1782,
3496 1782, 1782, 1782, 1782, 1782, 1860, 1860, 1860, 1860, 1860,
3497 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3498 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1784, 1782, 1860,
3499
3500 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3501 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3502 1782, 1811, 1779, 1779, 1811, 1634, 1860, 1634, 1634, 1634,
3503 1634, 1634, 1634, 1634, 1634, 1812, 1812, 1634, 1634, 1634,
3504 1634, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3505 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3506 1860, 1860, 1860, 1636, 1812, 1860, 1860, 1860, 1860, 1860,
3507 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3508 1860, 1860, 1860, 1860, 1860, 1860, 1634, 1811, 1779, 1779,
3509 1811, 1634, 1860, 1634, 1634, 1634, 1634, 1634, 1634, 1634,
3510
3511 1634, 1812, 1812, 1634, 1634, 1634, 1634, 1860, 1860, 1860,
3512 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3513 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1636,
3514 1812, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3515 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3516 1860, 1860, 1634, 1814, 1631, 1631, 1814, 1815, 1860, 1815,
3517 1815, 1815, 1815, 1815, 1815, 1815, 1815, 1815, 1815, 1815,
3518 1815, 1815, 1815, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3519 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1817, 1860, 1860,
3520 1860, 1860, 1860, 1860, 1860, 1818, 1815, 1860, 1860, 1860,
3521
3522 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3523 1817, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1815, 1824,
3524 1860, 1860, 1824, 1824, 1860, 1824, 1824, 1824, 1824, 1824,
3525 1824, 1824, 1824, 1824, 1824, 1824, 1824, 1824, 1824, 1860,
3526 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3527 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3528 1860, 1826, 1824, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3529 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3530 1860, 1860, 1860, 1860, 1824, 1814, 1631, 1631, 1814, 1815,
3531 1860, 1815, 1815, 1815, 1815, 1815, 1815, 1815, 1815, 1815,
3532
3533 1815, 1815, 1815, 1815, 1815, 1860, 1860, 1860, 1860, 1860,
3534 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1817,
3535 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1818, 1815, 1860,
3536 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3537 1860, 1860, 1817, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3538 1815, 1820, 1821, 1821, 1822, 1860, 1860, 1860, 1860, 1860,
3539 185, 185, 1860, 1860, 1860, 185, 1860, 186, 1860, 1860,
3540 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1842,
3541 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3542 1860, 1860, 1860, 1753, 1860, 1860, 1860, 1860, 1860, 1860,
3543
3544 1860, 1860, 1860, 1842, 1824, 1860, 1860, 1824, 1824, 1860,
3545 1824, 1824, 1824, 1824, 1824, 1824, 1824, 1824, 1824, 1824,
3546 1824, 1824, 1824, 1824, 1860, 1860, 1860, 1860, 1860, 1860,
3547 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3548 1860, 1860, 1860, 1860, 1860, 1860, 1826, 1824, 1860, 1860,
3549 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3550 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1824,
3551 1848, 1750, 1750, 1848, 1815, 1860, 1815, 1815, 1815, 1815,
3552 1815, 1815, 1815, 1815, 1815, 1853, 1815, 1815, 1815, 1815,
3553 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3554
3555 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3556 1860, 1860, 1855, 1853, 1860, 1860, 1860, 1860, 1860, 1860,
3557 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3558 1860, 1860, 1860, 1860, 1860, 1815, 1857, 1779, 1779, 1857,
3559 1815, 1860, 1815, 1815, 1815, 1815, 1815, 1815, 1815, 1815,
3560 1858, 1858, 1815, 1815, 1815, 1815, 1860, 1860, 1860, 1860,
3561 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3562 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1818, 1858,
3563 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3564 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3565
3566 1860, 1815, 1857, 1779, 1779, 1857, 1815, 1860, 1815, 1815,
3567 1815, 1815, 1815, 1815, 1815, 1815, 1858, 1858, 1815, 1815,
3568 1815, 1815, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3569 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3570 1860, 1860, 1860, 1860, 1818, 1858, 1860, 1860, 1860, 1860,
3571 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3572 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1815, 41, 41,
3573 41, 41, 41, 41, 41, 41, 41, 41, 41, 41,
3574 41, 41, 41, 41, 41, 41, 41, 41, 41, 41,
3575 41, 83, 83, 83, 83, 83, 83, 83, 83, 83,
3576
3577 83, 83, 83, 83, 83, 83, 83, 83, 83, 83,
3578 83, 83, 83, 83, 89, 89, 89, 89, 89, 89,
3579 89, 89, 89, 89, 89, 89, 89, 89, 89, 89,
3580 89, 89, 89, 89, 89, 89, 89, 112, 112, 112,
3581 112, 112, 112, 112, 112, 112, 112, 112, 112, 112,
3582 112, 112, 112, 112, 112, 112, 112, 112, 112, 112,
3583 119, 119, 119, 119, 119, 119, 119, 119, 119, 119,
3584 119, 119, 119, 119, 119, 119, 119, 119, 119, 119,
3585 119, 119, 119, 129, 129, 129, 129, 129, 129, 129,
3586 129, 129, 129, 129, 129, 129, 129, 129, 129, 129,
3587
3588 129, 129, 129, 129, 129, 129, 141, 141, 141, 141,
3589 141, 141, 141, 141, 141, 141, 141, 141, 141, 141,
3590 141, 141, 141, 141, 141, 141, 141, 141, 141, 147,
3591 147, 147, 147, 147, 147, 147, 147, 147, 147, 147,
3592 147, 147, 147, 147, 147, 147, 147, 147, 147, 147,
3593 147, 147, 151, 151, 151, 151, 151, 151, 151, 151,
3594 151, 151, 151, 151, 151, 151, 151, 151, 151, 151,
3595 151, 151, 151, 151, 151, 158, 158, 158, 158, 158,
3596 158, 158, 158, 158, 158, 158, 158, 158, 158, 158,
3597 158, 158, 158, 158, 158, 158, 158, 158, 161, 161,
3598
3599 161, 161, 161, 161, 161, 161, 161, 161, 161, 161,
3600 161, 161, 161, 161, 161, 161, 161, 161, 161, 161,
3601 161, 169, 1860, 1860, 169, 169, 169, 169, 169, 169,
3602 169, 169, 169, 169, 169, 169, 169, 169, 169, 169,
3603 169, 169, 169, 174, 1860, 1860, 1860, 1860, 174, 174,
3604 1860, 1860, 1860, 1860, 174, 174, 1860, 174, 176, 1860,
3605 1860, 176, 176, 1860, 176, 176, 176, 176, 176, 176,
3606 176, 176, 176, 176, 176, 176, 176, 176, 176, 176,
3607 187, 187, 187, 187, 1860, 187, 1860, 187, 1860, 1860,
3608 187, 187, 187, 187, 1860, 1860, 1860, 187, 187, 187,
3609
3610 187, 196, 1860, 1860, 196, 196, 196, 196, 196, 196,
3611 196, 196, 196, 196, 196, 196, 196, 196, 196, 196,
3612 196, 196, 196, 185, 185, 185, 185, 1860, 1860, 1860,
3613 185, 1860, 1860, 1860, 185, 185, 185, 1860, 1860, 1860,
3614 185, 185, 1860, 185, 202, 202, 202, 202, 1860, 1860,
3615 202, 202, 1860, 1860, 1860, 202, 202, 202, 1860, 1860,
3616 1860, 202, 202, 1860, 202, 206, 206, 206, 206, 1860,
3617 1860, 1860, 206, 1860, 1860, 206, 206, 206, 1860, 1860,
3618 1860, 1860, 206, 206, 1860, 206, 215, 215, 215, 215,
3619 1860, 215, 1860, 215, 215, 215, 215, 215, 215, 215,
3620
3621 1860, 215, 1860, 215, 215, 1860, 215, 224, 1860, 1860,
3622 224, 224, 1860, 224, 224, 224, 224, 224, 224, 224,
3623 224, 224, 224, 224, 224, 224, 224, 224, 224, 268,
3624 268, 268, 268, 1860, 1860, 268, 1860, 1860, 1860, 1860,
3625 268, 268, 1860, 1860, 1860, 1860, 268, 268, 1860, 268,
3626 270, 1860, 1860, 270, 270, 1860, 270, 270, 270, 270,
3627 270, 270, 270, 270, 270, 270, 270, 270, 270, 270,
3628 270, 270, 288, 288, 1860, 288, 288, 288, 288, 288,
3629 288, 288, 288, 288, 288, 288, 288, 288, 288, 288,
3630 288, 288, 288, 288, 288, 294, 294, 294, 294, 1860,
3631
3632 1860, 1860, 1860, 1860, 1860, 294, 1860, 1860, 1860, 1860,
3633 1860, 1860, 294, 294, 1860, 294, 296, 296, 1860, 296,
3634 296, 296, 296, 296, 296, 296, 296, 296, 296, 296,
3635 296, 1860, 296, 296, 296, 296, 296, 296, 296, 308,
3636 1860, 1860, 1860, 1860, 308, 308, 1860, 1860, 1860, 1860,
3637 308, 308, 1860, 308, 310, 1860, 1860, 310, 310, 1860,
3638 310, 310, 310, 310, 310, 310, 310, 310, 310, 310,
3639 310, 310, 310, 310, 310, 310, 315, 1860, 1860, 1860,
3640 1860, 315, 315, 1860, 1860, 1860, 1860, 315, 315, 1860,
3641 315, 317, 1860, 1860, 317, 317, 1860, 317, 317, 317,
3642
3643 317, 317, 317, 317, 317, 317, 317, 317, 317, 317,
3644 317, 317, 317, 321, 321, 321, 321, 321, 321, 321,
3645 321, 321, 321, 321, 321, 321, 321, 321, 321, 321,
3646 321, 321, 321, 321, 321, 321, 326, 1860, 1860, 326,
3647 326, 326, 326, 326, 326, 326, 326, 326, 326, 326,
3648 326, 326, 326, 326, 326, 326, 326, 326, 328, 1860,
3649 1860, 328, 328, 328, 328, 328, 1860, 1860, 328, 1860,
3650 328, 328, 1860, 328, 330, 1860, 1860, 330, 330, 1860,
3651 330, 330, 330, 330, 330, 330, 330, 330, 330, 330,
3652 330, 330, 330, 330, 330, 330, 334, 334, 1860, 334,
3653
3654 334, 334, 334, 334, 334, 334, 334, 334, 334, 334,
3655 334, 334, 334, 334, 334, 334, 334, 334, 334, 336,
3656 1860, 336, 336, 1860, 1860, 1860, 1860, 336, 1860, 1860,
3657 336, 214, 1860, 1860, 214, 1860, 214, 1860, 1860, 214,
3658 214, 214, 1860, 214, 214, 1860, 214, 1860, 214, 214,
3659 1860, 214, 185, 185, 185, 185, 1860, 1860, 1860, 185,
3660 1860, 1860, 1860, 185, 185, 185, 1860, 1860, 1860, 185,
3661 185, 1860, 185, 206, 206, 206, 206, 1860, 1860, 1860,
3662 206, 1860, 1860, 206, 206, 206, 1860, 1860, 1860, 1860,
3663 206, 206, 1860, 206, 342, 342, 342, 342, 1860, 342,
3664
3665 1860, 342, 342, 342, 342, 342, 342, 342, 1860, 342,
3666 1860, 342, 342, 1860, 342, 347, 1860, 1860, 347, 347,
3667 1860, 347, 347, 347, 347, 347, 347, 347, 347, 347,
3668 347, 347, 347, 347, 347, 347, 347, 196, 1860, 1860,
3669 196, 196, 196, 196, 196, 196, 196, 196, 196, 196,
3670 196, 196, 196, 196, 196, 196, 196, 196, 196, 202,
3671 202, 202, 202, 1860, 1860, 1860, 202, 1860, 1860, 1860,
3672 202, 202, 202, 1860, 1860, 1860, 202, 202, 1860, 202,
3673 215, 215, 215, 215, 1860, 215, 1860, 215, 215, 215,
3674 215, 215, 215, 215, 1860, 215, 1860, 215, 215, 1860,
3675
3676 215, 224, 1860, 1860, 224, 224, 1860, 224, 224, 224,
3677 224, 224, 224, 224, 224, 224, 224, 224, 224, 224,
3678 224, 224, 224, 268, 268, 268, 268, 1860, 1860, 1860,
3679 1860, 1860, 1860, 1860, 268, 268, 1860, 1860, 1860, 1860,
3680 268, 268, 1860, 268, 270, 1860, 1860, 270, 270, 1860,
3681 270, 270, 270, 270, 270, 270, 270, 270, 270, 270,
3682 270, 270, 270, 270, 270, 270, 283, 283, 1860, 283,
3683 283, 283, 283, 283, 283, 283, 283, 283, 283, 283,
3684 283, 283, 283, 283, 283, 283, 283, 283, 283, 288,
3685 288, 1860, 288, 288, 288, 288, 288, 288, 288, 288,
3686
3687 288, 288, 288, 288, 288, 288, 288, 288, 288, 288,
3688 288, 288, 294, 294, 294, 294, 1860, 1860, 1860, 1860,
3689 1860, 1860, 294, 1860, 1860, 1860, 1860, 1860, 1860, 294,
3690 294, 1860, 294, 295, 295, 1860, 295, 295, 295, 295,
3691 295, 295, 295, 295, 295, 295, 295, 295, 1860, 295,
3692 295, 295, 295, 295, 295, 295, 296, 296, 1860, 296,
3693 296, 296, 296, 296, 296, 296, 296, 296, 296, 296,
3694 296, 1860, 296, 296, 296, 296, 296, 296, 296, 308,
3695 308, 1860, 1860, 1860, 1860, 308, 308, 1860, 308, 310,
3696 1860, 1860, 310, 310, 1860, 310, 310, 310, 310, 310,
3697
3698 310, 310, 310, 310, 310, 310, 310, 310, 310, 310,
3699 310, 315, 315, 1860, 1860, 1860, 1860, 315, 315, 1860,
3700 315, 317, 1860, 1860, 317, 317, 1860, 317, 317, 317,
3701 317, 317, 317, 317, 317, 317, 317, 317, 317, 317,
3702 317, 317, 317, 320, 320, 320, 320, 320, 320, 320,
3703 320, 320, 320, 320, 320, 320, 320, 320, 320, 320,
3704 320, 320, 320, 320, 320, 320, 321, 321, 321, 321,
3705 321, 321, 321, 321, 321, 321, 321, 321, 321, 321,
3706 321, 321, 321, 321, 321, 321, 321, 321, 321, 327,
3707 1860, 1860, 327, 327, 327, 1860, 327, 1860, 1860, 327,
3708
3709 1860, 327, 327, 1860, 327, 326, 1860, 1860, 326, 326,
3710 326, 326, 326, 326, 326, 326, 326, 326, 326, 326,
3711 326, 326, 326, 326, 326, 326, 326, 328, 1860, 1860,
3712 328, 328, 328, 328, 328, 1860, 1860, 328, 1860, 328,
3713 328, 1860, 328, 330, 1860, 1860, 330, 330, 1860, 330,
3714 330, 330, 330, 330, 330, 330, 330, 330, 330, 330,
3715 330, 330, 330, 330, 330, 446, 446, 1860, 446, 446,
3716 446, 446, 446, 446, 446, 446, 446, 446, 446, 446,
3717 446, 446, 446, 446, 446, 446, 446, 446, 336, 1860,
3718 1860, 336, 1860, 336, 336, 1860, 1860, 1860, 1860, 336,
3719
3720 1860, 1860, 336, 342, 342, 342, 342, 1860, 342, 1860,
3721 342, 342, 342, 342, 342, 342, 342, 1860, 342, 1860,
3722 342, 342, 1860, 342, 347, 1860, 1860, 347, 347, 1860,
3723 347, 347, 347, 347, 347, 347, 347, 347, 347, 347,
3724 347, 347, 347, 347, 347, 347, 169, 169, 169, 169,
3725 169, 169, 169, 169, 169, 169, 169, 169, 169, 169,
3726 169, 169, 169, 169, 169, 169, 169, 169, 202, 202,
3727 202, 202, 1860, 1860, 1860, 202, 1860, 1860, 1860, 202,
3728 202, 202, 1860, 1860, 1860, 202, 202, 1860, 202, 206,
3729 206, 206, 206, 1860, 1860, 1860, 206, 1860, 1860, 206,
3730
3731 206, 206, 1860, 1860, 1860, 1860, 206, 206, 1860, 206,
3732 463, 463, 463, 463, 463, 463, 463, 1860, 463, 1860,
3733 463, 463, 463, 463, 463, 463, 463, 1860, 463, 463,
3734 463, 463, 463, 215, 215, 215, 215, 1860, 215, 1860,
3735 215, 215, 215, 215, 215, 215, 215, 1860, 215, 1860,
3736 215, 215, 1860, 215, 224, 1860, 1860, 224, 224, 1860,
3737 224, 224, 224, 224, 224, 224, 224, 224, 224, 224,
3738 224, 224, 224, 224, 224, 224, 527, 527, 1860, 527,
3739 527, 527, 527, 527, 527, 527, 527, 527, 527, 527,
3740 527, 527, 527, 527, 527, 527, 527, 527, 527, 268,
3741
3742 268, 268, 268, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3743 268, 268, 1860, 1860, 1860, 1860, 268, 268, 1860, 268,
3744 270, 270, 270, 270, 270, 1860, 270, 270, 270, 270,
3745 270, 270, 270, 270, 270, 270, 270, 270, 270, 270,
3746 270, 270, 538, 538, 538, 538, 1860, 538, 1860, 1860,
3747 1860, 1860, 1860, 538, 538, 1860, 538, 1860, 1860, 538,
3748 538, 538, 538, 283, 283, 1860, 283, 283, 283, 283,
3749 283, 283, 283, 283, 283, 283, 283, 283, 283, 283,
3750 283, 283, 283, 283, 283, 283, 288, 288, 1860, 288,
3751 288, 288, 288, 288, 288, 288, 288, 288, 288, 288,
3752
3753 288, 288, 288, 288, 288, 288, 288, 288, 288, 295,
3754 295, 1860, 295, 295, 295, 295, 295, 295, 295, 295,
3755 295, 295, 295, 295, 1860, 295, 295, 295, 295, 295,
3756 295, 295, 296, 296, 1860, 296, 296, 296, 296, 296,
3757 296, 296, 296, 296, 296, 296, 296, 1860, 296, 296,
3758 296, 296, 296, 296, 296, 560, 560, 560, 560, 1860,
3759 560, 1860, 1860, 1860, 1860, 1860, 560, 560, 1860, 560,
3760 1860, 1860, 560, 560, 560, 560, 308, 308, 1860, 1860,
3761 1860, 1860, 308, 308, 1860, 308, 315, 315, 1860, 1860,
3762 1860, 1860, 315, 315, 1860, 315, 321, 321, 321, 321,
3763
3764 321, 321, 321, 321, 321, 321, 321, 321, 321, 321,
3765 321, 321, 321, 321, 321, 321, 321, 321, 321, 328,
3766 1860, 328, 1860, 1860, 328, 328, 328, 328, 328, 1860,
3767 1860, 328, 1860, 328, 328, 1860, 328, 566, 566, 1860,
3768 566, 566, 566, 566, 566, 566, 566, 566, 566, 566,
3769 566, 566, 566, 566, 566, 566, 566, 566, 566, 567,
3770 567, 567, 567, 567, 567, 567, 567, 567, 567, 567,
3771 567, 567, 567, 567, 567, 567, 567, 567, 567, 567,
3772 567, 567, 447, 447, 1860, 447, 447, 447, 447, 447,
3773 447, 447, 447, 447, 447, 447, 447, 447, 447, 447,
3774
3775 447, 447, 447, 447, 447, 569, 569, 569, 569, 569,
3776 569, 569, 569, 569, 569, 569, 569, 569, 569, 569,
3777 1860, 569, 569, 569, 569, 569, 569, 569, 347, 1860,
3778 1860, 347, 347, 1860, 347, 347, 347, 347, 347, 347,
3779 347, 347, 347, 347, 347, 347, 347, 347, 347, 347,
3780 169, 1860, 1860, 169, 169, 169, 169, 169, 169, 169,
3781 169, 169, 169, 169, 169, 169, 169, 169, 169, 169,
3782 169, 169, 582, 582, 582, 582, 582, 582, 582, 582,
3783 582, 582, 582, 582, 582, 582, 582, 582, 582, 582,
3784 582, 582, 582, 582, 582, 206, 206, 206, 206, 1860,
3785
3786 1860, 1860, 206, 1860, 1860, 206, 206, 206, 1860, 1860,
3787 1860, 1860, 206, 206, 1860, 206, 185, 185, 185, 185,
3788 1860, 1860, 1860, 185, 1860, 1860, 1860, 185, 185, 185,
3789 1860, 1860, 1860, 185, 185, 1860, 185, 224, 224, 224,
3790 224, 224, 1860, 224, 224, 224, 224, 224, 224, 224,
3791 224, 224, 224, 224, 224, 224, 224, 224, 224, 639,
3792 639, 639, 639, 639, 639, 639, 639, 639, 639, 639,
3793 639, 639, 639, 639, 1860, 639, 639, 639, 639, 639,
3794 639, 639, 660, 660, 1860, 660, 660, 660, 660, 660,
3795 660, 660, 660, 660, 660, 660, 660, 660, 660, 660,
3796
3797 660, 660, 660, 660, 660, 662, 662, 662, 662, 662,
3798 662, 662, 662, 662, 662, 662, 662, 662, 662, 662,
3799 662, 662, 662, 662, 662, 662, 662, 662, 528, 528,
3800 1860, 528, 528, 528, 528, 528, 528, 528, 528, 528,
3801 528, 528, 528, 528, 528, 528, 528, 528, 528, 528,
3802 528, 536, 536, 536, 536, 1860, 536, 1860, 1860, 1860,
3803 1860, 1860, 1860, 1860, 1860, 536, 1860, 1860, 536, 536,
3804 536, 673, 673, 673, 673, 1860, 673, 1860, 1860, 673,
3805 673, 673, 1860, 673, 1860, 673, 673, 1860, 673, 673,
3806 1860, 673, 674, 674, 674, 674, 1860, 674, 1860, 1860,
3807
3808 674, 674, 674, 674, 674, 1860, 674, 674, 1860, 674,
3809 674, 1860, 674, 679, 1860, 1860, 679, 679, 1860, 679,
3810 679, 679, 679, 679, 679, 679, 679, 679, 679, 679,
3811 679, 679, 679, 679, 679, 174, 174, 1860, 1860, 1860,
3812 1860, 174, 174, 1860, 174, 283, 283, 1860, 283, 283,
3813 283, 283, 283, 283, 283, 283, 283, 283, 283, 283,
3814 283, 283, 283, 283, 283, 283, 283, 283, 684, 684,
3815 1860, 684, 684, 684, 684, 684, 684, 684, 684, 684,
3816 684, 684, 684, 684, 684, 684, 684, 684, 684, 684,
3817 684, 288, 288, 1860, 288, 288, 288, 288, 288, 288,
3818
3819 288, 288, 288, 288, 288, 288, 288, 288, 288, 288,
3820 288, 288, 288, 288, 693, 693, 693, 693, 693, 693,
3821 693, 693, 693, 693, 693, 693, 693, 693, 693, 693,
3822 693, 693, 693, 693, 693, 693, 693, 295, 295, 1860,
3823 295, 295, 295, 295, 295, 295, 295, 295, 295, 295,
3824 295, 295, 1860, 295, 295, 295, 295, 295, 295, 295,
3825 694, 694, 694, 694, 694, 694, 694, 694, 694, 694,
3826 694, 694, 694, 694, 694, 1860, 694, 694, 694, 694,
3827 694, 694, 694, 558, 558, 558, 558, 1860, 558, 1860,
3828 1860, 1860, 1860, 1860, 1860, 1860, 1860, 558, 1860, 1860,
3829
3830 558, 558, 558, 701, 701, 701, 701, 1860, 701, 1860,
3831 1860, 701, 701, 701, 1860, 701, 1860, 701, 701, 1860,
3832 701, 701, 1860, 701, 702, 702, 702, 702, 1860, 702,
3833 1860, 1860, 702, 702, 702, 702, 702, 1860, 702, 702,
3834 1860, 702, 702, 1860, 702, 706, 1860, 1860, 706, 706,
3835 1860, 706, 706, 706, 706, 706, 706, 706, 706, 706,
3836 706, 706, 706, 706, 706, 706, 706, 708, 708, 1860,
3837 708, 708, 708, 708, 708, 708, 708, 708, 708, 708,
3838 708, 708, 708, 708, 708, 708, 708, 708, 708, 708,
3839 711, 711, 711, 711, 711, 711, 711, 711, 711, 711,
3840
3841 711, 711, 711, 711, 711, 711, 711, 711, 711, 711,
3842 711, 711, 711, 712, 712, 712, 712, 712, 712, 712,
3843 712, 712, 712, 712, 712, 712, 712, 712, 712, 712,
3844 712, 712, 712, 712, 712, 712, 567, 567, 567, 567,
3845 567, 567, 567, 567, 567, 567, 567, 567, 567, 567,
3846 567, 567, 567, 567, 567, 567, 567, 567, 567, 342,
3847 342, 342, 342, 1860, 342, 1860, 342, 342, 342, 342,
3848 342, 342, 342, 1860, 342, 1860, 342, 342, 1860, 342,
3849 347, 347, 347, 347, 347, 1860, 347, 347, 347, 347,
3850 347, 347, 347, 347, 347, 347, 347, 347, 347, 347,
3851
3852 347, 347, 169, 1860, 1860, 169, 169, 169, 169, 169,
3853 169, 169, 169, 169, 169, 169, 169, 169, 169, 169,
3854 169, 169, 169, 169, 582, 582, 582, 582, 582, 582,
3855 582, 582, 582, 582, 582, 582, 582, 582, 582, 582,
3856 582, 582, 582, 582, 582, 582, 582, 206, 206, 206,
3857 206, 1860, 1860, 1860, 206, 1860, 1860, 206, 206, 206,
3858 1860, 1860, 1860, 1860, 206, 206, 1860, 206, 215, 215,
3859 215, 215, 1860, 215, 1860, 215, 215, 215, 215, 215,
3860 215, 215, 1860, 215, 1860, 215, 215, 1860, 215, 185,
3861 185, 185, 185, 1860, 1860, 1860, 185, 1860, 1860, 1860,
3862
3863 185, 185, 185, 1860, 1860, 1860, 185, 185, 1860, 185,
3864 224, 1860, 1860, 224, 224, 1860, 224, 224, 224, 224,
3865 224, 224, 224, 224, 224, 224, 224, 224, 224, 224,
3866 224, 224, 639, 639, 639, 639, 639, 639, 639, 639,
3867 639, 639, 639, 639, 639, 639, 639, 1860, 639, 639,
3868 639, 639, 639, 639, 639, 779, 779, 779, 779, 779,
3869 779, 779, 779, 779, 779, 779, 779, 779, 779, 779,
3870 1860, 779, 779, 779, 779, 779, 779, 779, 796, 796,
3871 796, 796, 796, 796, 796, 796, 796, 796, 796, 796,
3872 796, 796, 796, 796, 796, 796, 796, 796, 796, 796,
3873
3874 796, 661, 661, 1860, 661, 661, 661, 661, 661, 661,
3875 661, 661, 661, 661, 661, 661, 661, 661, 661, 661,
3876 661, 661, 661, 661, 662, 662, 662, 662, 662, 662,
3877 662, 662, 662, 662, 662, 662, 662, 662, 662, 662,
3878 662, 662, 662, 662, 662, 662, 662, 536, 536, 536,
3879 536, 1860, 536, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
3880 1860, 536, 1860, 1860, 536, 536, 536, 673, 673, 673,
3881 673, 1860, 673, 1860, 1860, 673, 673, 673, 1860, 673,
3882 1860, 673, 673, 1860, 673, 673, 1860, 673, 674, 674,
3883 674, 674, 1860, 674, 1860, 1860, 674, 674, 674, 674,
3884
3885 674, 1860, 674, 674, 1860, 674, 674, 1860, 674, 679,
3886 1860, 1860, 679, 679, 1860, 679, 679, 679, 679, 679,
3887 679, 679, 679, 679, 679, 679, 679, 679, 679, 679,
3888 679, 174, 174, 1860, 1860, 1860, 1860, 174, 174, 1860,
3889 174, 684, 684, 1860, 684, 684, 684, 684, 684, 684,
3890 684, 684, 684, 684, 684, 684, 684, 684, 684, 684,
3891 684, 684, 684, 684, 283, 283, 1860, 283, 283, 283,
3892 283, 283, 283, 283, 283, 283, 283, 283, 283, 283,
3893 283, 283, 283, 283, 283, 283, 283, 288, 288, 1860,
3894 288, 288, 288, 288, 288, 288, 288, 288, 288, 288,
3895
3896 288, 288, 288, 288, 288, 288, 288, 288, 288, 288,
3897 693, 693, 693, 693, 693, 693, 693, 693, 693, 693,
3898 693, 693, 693, 693, 693, 693, 693, 693, 693, 693,
3899 693, 693, 693, 694, 694, 694, 694, 694, 694, 694,
3900 694, 694, 694, 694, 694, 694, 694, 694, 1860, 694,
3901 694, 694, 694, 694, 694, 694, 701, 701, 701, 701,
3902 1860, 701, 1860, 1860, 701, 701, 701, 1860, 701, 1860,
3903 701, 701, 1860, 701, 701, 1860, 701, 702, 702, 702,
3904 702, 1860, 702, 1860, 1860, 702, 702, 702, 702, 702,
3905 1860, 702, 702, 1860, 702, 702, 1860, 702, 706, 1860,
3906
3907 1860, 706, 706, 1860, 706, 706, 706, 706, 706, 706,
3908 706, 706, 706, 706, 706, 706, 706, 706, 706, 706,
3909 708, 708, 1860, 708, 708, 708, 708, 708, 708, 708,
3910 708, 708, 708, 708, 708, 708, 708, 708, 708, 708,
3911 708, 708, 708, 711, 711, 711, 711, 711, 711, 711,
3912 711, 711, 711, 711, 711, 711, 711, 711, 711, 711,
3913 711, 711, 711, 711, 711, 711, 712, 712, 712, 712,
3914 712, 712, 712, 712, 712, 712, 712, 712, 712, 712,
3915 712, 712, 712, 712, 712, 712, 712, 712, 712, 342,
3916 342, 342, 342, 1860, 342, 1860, 342, 342, 342, 342,
3917
3918 342, 342, 342, 1860, 342, 1860, 342, 342, 1860, 342,
3919 347, 1860, 1860, 347, 347, 1860, 347, 347, 347, 347,
3920 347, 347, 347, 347, 347, 347, 347, 347, 347, 347,
3921 347, 347, 169, 1860, 1860, 169, 169, 169, 169, 169,
3922 169, 169, 169, 169, 169, 169, 169, 169, 169, 169,
3923 169, 169, 169, 169, 841, 841, 841, 841, 841, 841,
3924 841, 841, 841, 841, 841, 841, 841, 841, 841, 841,
3925 841, 841, 841, 841, 841, 841, 841, 582, 582, 582,
3926 582, 582, 582, 582, 582, 582, 582, 582, 582, 582,
3927 582, 582, 582, 582, 582, 582, 582, 582, 582, 582,
3928
3929 206, 206, 206, 206, 1860, 1860, 1860, 206, 1860, 1860,
3930 206, 206, 206, 1860, 1860, 1860, 1860, 206, 206, 1860,
3931 206, 215, 215, 215, 215, 1860, 215, 1860, 215, 215,
3932 215, 215, 215, 215, 215, 1860, 215, 1860, 215, 215,
3933 1860, 215, 185, 185, 185, 185, 1860, 1860, 1860, 185,
3934 1860, 1860, 1860, 185, 185, 185, 1860, 1860, 1860, 185,
3935 185, 1860, 185, 224, 1860, 1860, 224, 224, 1860, 224,
3936 224, 224, 224, 224, 224, 224, 224, 224, 224, 224,
3937 224, 224, 224, 224, 224, 779, 779, 779, 779, 779,
3938 779, 779, 779, 779, 779, 779, 779, 779, 779, 779,
3939
3940 1860, 779, 779, 779, 779, 779, 779, 779, 569, 569,
3941 569, 569, 569, 569, 569, 569, 569, 569, 569, 569,
3942 569, 569, 569, 1860, 569, 569, 569, 569, 569, 569,
3943 569, 639, 639, 639, 639, 639, 639, 639, 639, 639,
3944 639, 639, 639, 639, 639, 639, 1860, 639, 639, 639,
3945 639, 639, 639, 639, 796, 796, 796, 796, 796, 796,
3946 796, 796, 796, 796, 796, 796, 796, 796, 796, 796,
3947 796, 796, 796, 796, 796, 796, 796, 673, 673, 673,
3948 673, 1860, 673, 1860, 1860, 673, 673, 673, 1860, 673,
3949 1860, 673, 673, 1860, 673, 673, 1860, 673, 674, 674,
3950
3951 674, 674, 1860, 674, 1860, 1860, 674, 674, 674, 674,
3952 674, 1860, 674, 674, 1860, 674, 674, 1860, 674, 679,
3953 679, 679, 679, 679, 1860, 679, 679, 679, 679, 679,
3954 679, 679, 679, 679, 679, 679, 679, 679, 679, 679,
3955 679, 174, 174, 1860, 1860, 1860, 1860, 174, 174, 1860,
3956 174, 684, 684, 1860, 684, 684, 684, 684, 684, 684,
3957 684, 684, 684, 684, 684, 684, 684, 684, 684, 684,
3958 684, 684, 684, 684, 283, 283, 1860, 283, 283, 283,
3959 283, 283, 283, 283, 283, 283, 283, 283, 283, 283,
3960 283, 283, 283, 283, 283, 283, 283, 288, 288, 1860,
3961
3962 288, 288, 288, 288, 288, 288, 288, 288, 288, 288,
3963 288, 288, 288, 288, 288, 288, 288, 288, 288, 288,
3964 701, 701, 701, 701, 1860, 701, 1860, 1860, 701, 701,
3965 701, 1860, 701, 1860, 701, 701, 1860, 701, 701, 1860,
3966 701, 702, 702, 702, 702, 1860, 702, 1860, 1860, 702,
3967 702, 702, 702, 702, 1860, 702, 702, 1860, 702, 702,
3968 1860, 702, 706, 706, 706, 706, 706, 1860, 706, 706,
3969 706, 706, 706, 706, 706, 706, 706, 706, 706, 706,
3970 706, 706, 706, 706, 708, 708, 1860, 708, 708, 708,
3971 708, 708, 708, 708, 708, 708, 708, 708, 708, 708,
3972
3973 708, 708, 708, 708, 708, 708, 708, 347, 1860, 1860,
3974 347, 347, 1860, 347, 347, 347, 347, 347, 347, 347,
3975 347, 347, 347, 347, 347, 347, 347, 347, 347, 169,
3976 1860, 1860, 169, 169, 169, 169, 169, 169, 169, 169,
3977 169, 169, 169, 169, 169, 169, 169, 169, 169, 169,
3978 169, 582, 582, 582, 582, 582, 582, 582, 582, 582,
3979 582, 582, 582, 582, 582, 582, 582, 582, 582, 582,
3980 582, 582, 582, 582, 841, 841, 841, 841, 841, 841,
3981 841, 841, 841, 841, 841, 841, 841, 841, 841, 841,
3982 841, 841, 841, 841, 841, 841, 841, 206, 206, 206,
3983
3984 206, 1860, 1860, 1860, 206, 1860, 1860, 206, 206, 206,
3985 1860, 1860, 1860, 1860, 206, 206, 1860, 206, 956, 956,
3986 956, 956, 1860, 1860, 1860, 956, 1860, 1860, 956, 956,
3987 956, 1860, 1860, 1860, 1860, 956, 956, 1860, 956, 224,
3988 1860, 1860, 224, 224, 1860, 224, 224, 224, 224, 224,
3989 224, 224, 224, 224, 224, 224, 224, 224, 224, 224,
3990 224, 779, 779, 779, 779, 779, 779, 779, 779, 779,
3991 779, 779, 779, 779, 779, 779, 1860, 779, 779, 779,
3992 779, 779, 779, 779, 569, 569, 569, 569, 569, 569,
3993 569, 569, 569, 569, 569, 569, 569, 569, 569, 1860,
3994
3995 569, 569, 569, 569, 569, 569, 569, 992, 992, 992,
3996 992, 992, 992, 992, 992, 992, 992, 992, 992, 992,
3997 992, 992, 992, 992, 992, 992, 992, 992, 992, 992,
3998 673, 673, 673, 673, 1860, 673, 1860, 1860, 673, 673,
3999 673, 1860, 673, 1860, 673, 673, 1860, 673, 673, 1860,
4000 673, 674, 674, 674, 674, 1860, 674, 1860, 1860, 674,
4001 674, 674, 674, 674, 1860, 674, 674, 1860, 674, 674,
4002 1860, 674, 283, 283, 1860, 283, 283, 283, 283, 283,
4003 283, 283, 283, 283, 283, 283, 283, 283, 283, 283,
4004 283, 283, 283, 283, 283, 288, 288, 1860, 288, 288,
4005
4006 288, 288, 288, 288, 288, 288, 288, 288, 288, 288,
4007 288, 288, 288, 288, 288, 288, 288, 288, 701, 701,
4008 701, 701, 1860, 701, 1860, 1860, 701, 701, 701, 1860,
4009 701, 1860, 701, 701, 1860, 701, 701, 1860, 701, 702,
4010 702, 702, 702, 1860, 702, 1860, 1860, 702, 702, 702,
4011 702, 702, 1860, 702, 702, 1860, 702, 702, 1860, 702,
4012 1048, 1048, 1048, 1048, 1860, 1860, 1860, 1048, 1860, 1860,
4013 1048, 1048, 1048, 1860, 1860, 1860, 1860, 1048, 1048, 1860,
4014 1048, 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088,
4015 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088,
4016
4017 1088, 1088, 1088, 1088, 1220, 1220, 1220, 1220, 1220, 1220,
4018 1220, 1220, 1220, 1220, 1220, 1220, 1220, 1220, 1220, 1220,
4019 1220, 1220, 1220, 1220, 1220, 1220, 1220, 1268, 1268, 1268,
4020 1268, 1268, 1268, 1268, 1268, 1268, 1268, 1268, 1268, 1268,
4021 1268, 1268, 1268, 1268, 1268, 1268, 1268, 1268, 1268, 1268,
4022 1300, 1300, 1300, 1300, 1860, 1300, 1860, 1860, 1300, 1300,
4023 1300, 1300, 1300, 1860, 1300, 1300, 1860, 1300, 1300, 1300,
4024 1300, 1303, 1303, 1303, 1303, 1303, 1303, 1303, 1303, 1303,
4025 1303, 1303, 1303, 1303, 1303, 1303, 1303, 1303, 1303, 1303,
4026 1303, 1303, 1303, 1303, 1304, 1304, 1304, 1304, 1860, 1860,
4027
4028 1860, 1860, 1860, 1860, 1860, 1860, 1304, 1860, 1304, 1860,
4029 1860, 1304, 1304, 1860, 1304, 1313, 1313, 1313, 1313, 1860,
4030 1313, 1860, 1860, 1860, 1860, 1860, 1313, 1313, 1860, 1313,
4031 1860, 1860, 1313, 1313, 1313, 1313, 1360, 1360, 1360, 1360,
4032 1360, 1360, 1360, 1360, 1360, 1360, 1360, 1360, 1360, 1360,
4033 1360, 1860, 1360, 1360, 1360, 1360, 1360, 1360, 1360, 1298,
4034 1298, 1298, 1298, 1860, 1298, 1860, 1860, 1298, 1298, 1298,
4035 1860, 1298, 1860, 1298, 1298, 1860, 1298, 1298, 1298, 1298,
4036 1380, 1380, 1380, 1380, 1860, 1380, 1860, 1860, 1380, 1380,
4037 1380, 1380, 1380, 1860, 1380, 1380, 1860, 1380, 1380, 1380,
4038
4039 1380, 1311, 1311, 1311, 1311, 1860, 1311, 1860, 1860, 1860,
4040 1860, 1860, 1860, 1860, 1860, 1311, 1860, 1860, 1311, 1311,
4041 1311, 1386, 1386, 1386, 1386, 1860, 1386, 1860, 1860, 1386,
4042 1386, 1386, 1860, 1386, 1860, 1386, 1386, 1860, 1386, 1386,
4043 1386, 1386, 1389, 1389, 1389, 1389, 1860, 1389, 1860, 1860,
4044 1389, 1389, 1389, 1389, 1389, 1860, 1389, 1389, 1860, 1389,
4045 1389, 1389, 1389, 1391, 1860, 1860, 1391, 1391, 1860, 1391,
4046 1391, 1391, 1391, 1391, 1391, 1391, 1391, 1391, 1391, 1391,
4047 1391, 1391, 1391, 1391, 1391, 1303, 1303, 1303, 1303, 1303,
4048 1303, 1303, 1303, 1303, 1303, 1303, 1303, 1303, 1303, 1303,
4049
4050 1303, 1303, 1303, 1303, 1303, 1303, 1303, 1303, 1304, 1304,
4051 1304, 1304, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
4052 1304, 1860, 1304, 1860, 1860, 1304, 1304, 1860, 1304, 283,
4053 283, 283, 283, 283, 283, 283, 283, 283, 283, 283,
4054 283, 283, 283, 283, 283, 283, 283, 283, 283, 283,
4055 283, 283, 174, 174, 1860, 1860, 1860, 1860, 174, 174,
4056 1860, 174, 1397, 1397, 1397, 1397, 1860, 1397, 1860, 1860,
4057 1397, 1397, 1397, 1860, 1397, 1860, 1397, 1397, 1860, 1397,
4058 1397, 1397, 1397, 1400, 1400, 1400, 1400, 1860, 1400, 1860,
4059 1860, 1400, 1400, 1400, 1400, 1400, 1860, 1400, 1400, 1860,
4060
4061 1400, 1400, 1400, 1400, 701, 701, 701, 701, 1860, 701,
4062 1860, 1860, 701, 701, 701, 1860, 701, 1860, 701, 701,
4063 1860, 701, 701, 1860, 701, 1406, 1406, 1406, 1406, 1860,
4064 1406, 1860, 1860, 1406, 1406, 1406, 1406, 1406, 1860, 1406,
4065 1406, 1860, 1406, 1406, 1406, 1406, 702, 702, 702, 702,
4066 1860, 702, 1860, 1860, 702, 702, 702, 702, 702, 1860,
4067 702, 702, 1860, 702, 702, 1860, 702, 347, 1860, 1860,
4068 347, 347, 1860, 347, 347, 347, 347, 347, 347, 347,
4069 347, 347, 347, 347, 347, 347, 347, 347, 347, 169,
4070 169, 169, 169, 169, 169, 169, 169, 169, 169, 169,
4071
4072 169, 169, 169, 169, 169, 169, 169, 169, 169, 169,
4073 169, 582, 582, 582, 582, 582, 582, 582, 582, 582,
4074 582, 582, 582, 582, 582, 582, 582, 582, 582, 582,
4075 582, 582, 582, 582, 206, 206, 206, 206, 1860, 1860,
4076 1860, 206, 1860, 1860, 206, 206, 206, 1860, 1860, 1860,
4077 1860, 206, 206, 1860, 206, 1048, 1048, 1048, 1048, 1860,
4078 1860, 1860, 1048, 1860, 1860, 1860, 1048, 1048, 1860, 1860,
4079 1860, 1860, 1048, 1048, 1860, 1048, 956, 956, 956, 956,
4080 1860, 1860, 1860, 956, 1860, 1860, 956, 956, 956, 1860,
4081 1860, 1860, 1860, 956, 956, 1860, 956, 215, 215, 215,
4082
4083 215, 1860, 215, 1860, 215, 215, 215, 215, 215, 215,
4084 215, 1860, 215, 1860, 215, 215, 1860, 215, 224, 224,
4085 224, 224, 224, 1860, 224, 224, 224, 224, 224, 224,
4086 224, 224, 224, 224, 224, 224, 224, 224, 224, 224,
4087 569, 569, 569, 569, 569, 569, 569, 569, 569, 569,
4088 569, 569, 569, 569, 569, 1860, 569, 569, 569, 569,
4089 569, 569, 569, 1088, 1088, 1088, 1088, 1088, 1088, 1088,
4090 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088,
4091 1088, 1088, 1088, 1088, 1088, 1088, 779, 779, 779, 779,
4092 779, 779, 779, 779, 779, 779, 779, 779, 779, 779,
4093
4094 779, 1860, 779, 779, 779, 779, 779, 779, 779, 1450,
4095 1450, 1450, 1450, 1450, 1450, 1450, 1450, 1450, 1450, 1450,
4096 1450, 1450, 1450, 1450, 1860, 1450, 1450, 1450, 1450, 1450,
4097 1450, 1450, 1360, 1360, 1360, 1360, 1360, 1360, 1360, 1360,
4098 1360, 1360, 1360, 1360, 1360, 1360, 1360, 1860, 1360, 1360,
4099 1360, 1360, 1360, 1360, 1360, 1378, 1378, 1378, 1378, 1860,
4100 1378, 1860, 1860, 1378, 1378, 1378, 1860, 1378, 1860, 1378,
4101 1378, 1860, 1378, 1378, 1378, 1378, 673, 673, 673, 673,
4102 1860, 673, 1860, 1860, 673, 673, 673, 1860, 673, 1860,
4103 673, 673, 1860, 673, 673, 1860, 673, 536, 536, 536,
4104
4105 536, 1860, 536, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
4106 1860, 536, 1860, 1860, 536, 536, 536, 674, 674, 674,
4107 674, 1860, 674, 1860, 1860, 674, 674, 674, 674, 674,
4108 1860, 674, 674, 1860, 674, 674, 1860, 674, 1380, 1380,
4109 1380, 1380, 1860, 1380, 1860, 1860, 1380, 1380, 1380, 1380,
4110 1380, 1860, 1380, 1380, 1860, 1380, 1380, 1380, 1380, 1386,
4111 1386, 1386, 1386, 1860, 1386, 1860, 1860, 1386, 1386, 1386,
4112 1860, 1386, 1860, 1386, 1386, 1860, 1386, 1386, 1386, 1386,
4113 1389, 1389, 1389, 1389, 1860, 1389, 1860, 1860, 1389, 1389,
4114 1389, 1389, 1389, 1860, 1389, 1389, 1860, 1389, 1389, 1389,
4115
4116 1389, 1391, 1860, 1860, 1391, 1391, 1860, 1391, 1391, 1391,
4117 1391, 1391, 1391, 1391, 1391, 1391, 1391, 1391, 1391, 1391,
4118 1391, 1391, 1391, 1484, 1484, 1484, 1484, 1484, 1484, 1484,
4119 1484, 1484, 1484, 1484, 1484, 1484, 1484, 1484, 1484, 1484,
4120 1484, 1484, 1484, 1484, 1484, 174, 174, 1860, 1860, 1860,
4121 1860, 174, 174, 1860, 174, 1397, 1397, 1397, 1397, 1860,
4122 1397, 1860, 1860, 1397, 1397, 1397, 1860, 1397, 1860, 1397,
4123 1397, 1860, 1397, 1397, 1397, 1397, 1400, 1400, 1400, 1400,
4124 1860, 1400, 1860, 1860, 1400, 1400, 1400, 1400, 1400, 1860,
4125 1400, 1400, 1860, 1400, 1400, 1400, 1400, 1404, 1404, 1404,
4126
4127 1404, 1860, 1404, 1860, 1860, 1404, 1404, 1404, 1860, 1404,
4128 1860, 1404, 1404, 1860, 1404, 1404, 1404, 1404, 701, 701,
4129 701, 701, 1860, 701, 1860, 1860, 701, 701, 701, 1860,
4130 701, 1860, 701, 701, 1860, 701, 701, 1860, 701, 558,
4131 558, 558, 558, 1860, 558, 1860, 1860, 1860, 1860, 1860,
4132 1860, 1860, 1860, 558, 1860, 1860, 558, 558, 558, 702,
4133 702, 702, 702, 1860, 702, 1860, 1860, 702, 702, 702,
4134 702, 702, 1860, 702, 702, 1860, 702, 702, 1860, 702,
4135 1406, 1406, 1406, 1406, 1860, 1406, 1860, 1860, 1406, 1406,
4136 1406, 1406, 1406, 1860, 1406, 1406, 1860, 1406, 1406, 1406,
4137
4138 1406, 347, 347, 347, 347, 347, 1860, 347, 347, 347,
4139 347, 347, 347, 347, 347, 347, 347, 347, 347, 347,
4140 347, 347, 347, 169, 169, 169, 169, 169, 169, 169,
4141 169, 169, 169, 169, 169, 169, 169, 169, 169, 169,
4142 169, 169, 169, 169, 169, 206, 206, 206, 206, 1860,
4143 1860, 1860, 206, 1860, 1860, 206, 206, 206, 1860, 1860,
4144 1860, 1860, 206, 206, 1860, 206, 1048, 1048, 1048, 1048,
4145 1860, 1860, 1860, 1048, 1860, 1860, 1860, 1048, 1048, 1860,
4146 1860, 1860, 1860, 1048, 1048, 1860, 1048, 956, 956, 956,
4147 956, 1860, 1860, 1860, 956, 1860, 1860, 956, 956, 956,
4148
4149 1860, 1860, 1860, 1860, 956, 956, 1860, 956, 1507, 1507,
4150 1507, 1507, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
4151 1507, 1507, 1860, 1860, 1860, 1507, 1507, 1507, 1507, 1509,
4152 1509, 1509, 1509, 1860, 1860, 1860, 1509, 1860, 1860, 1860,
4153 1509, 1509, 1509, 1860, 1860, 1860, 1509, 1509, 1509, 1509,
4154 215, 215, 215, 215, 1860, 215, 1860, 215, 215, 215,
4155 215, 215, 215, 215, 1860, 215, 1860, 215, 215, 1860,
4156 215, 185, 185, 185, 185, 1860, 1860, 1860, 185, 1860,
4157 1860, 1860, 185, 185, 185, 185, 1860, 1860, 185, 185,
4158 1860, 185, 224, 224, 224, 224, 224, 1860, 224, 224,
4159
4160 224, 224, 224, 224, 224, 224, 224, 224, 224, 224,
4161 224, 224, 224, 224, 569, 569, 569, 569, 569, 569,
4162 569, 569, 569, 569, 569, 569, 569, 569, 569, 1860,
4163 569, 569, 569, 569, 569, 569, 569, 1088, 1088, 1088,
4164 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088,
4165 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088,
4166 779, 779, 779, 779, 779, 779, 779, 779, 779, 779,
4167 779, 779, 779, 779, 779, 1860, 779, 779, 779, 779,
4168 779, 779, 779, 1450, 1450, 1450, 1450, 1450, 1450, 1450,
4169 1450, 1450, 1450, 1450, 1450, 1450, 1450, 1450, 1860, 1450,
4170
4171 1450, 1450, 1450, 1450, 1450, 1450, 1360, 1360, 1360, 1360,
4172 1360, 1360, 1360, 1360, 1360, 1360, 1360, 1360, 1360, 1360,
4173 1360, 1860, 1360, 1360, 1360, 1360, 1360, 1360, 1360, 673,
4174 673, 673, 673, 1860, 673, 1860, 1860, 673, 673, 673,
4175 1860, 673, 1860, 673, 673, 1860, 673, 673, 1860, 673,
4176 1378, 1378, 1378, 1378, 1860, 1378, 1860, 1860, 1378, 1378,
4177 1378, 1860, 1378, 1860, 1378, 1378, 1860, 1378, 1378, 1378,
4178 1378, 674, 674, 674, 674, 1860, 674, 1860, 1860, 674,
4179 674, 674, 674, 674, 1860, 674, 674, 1860, 674, 674,
4180 1860, 674, 1391, 1391, 1391, 1391, 1391, 1860, 1391, 1391,
4181
4182 1391, 1391, 1391, 1391, 1391, 1391, 1391, 1391, 1391, 1391,
4183 1391, 1391, 1391, 1391, 1553, 1553, 1553, 1553, 1553, 1553,
4184 1553, 1553, 1553, 1553, 1553, 1553, 1553, 1553, 1553, 1553,
4185 1553, 1553, 1553, 1553, 1553, 1553, 1484, 1484, 1484, 1484,
4186 1484, 1484, 1484, 1484, 1484, 1484, 1484, 1484, 1484, 1484,
4187 1484, 1484, 1484, 1484, 1484, 1484, 1484, 1484, 701, 701,
4188 701, 701, 1860, 701, 1860, 1860, 701, 701, 701, 1860,
4189 701, 1860, 701, 701, 1860, 701, 701, 1860, 701, 1404,
4190 1404, 1404, 1404, 1860, 1404, 1860, 1860, 1404, 1404, 1404,
4191 1860, 1404, 1860, 1404, 1404, 1860, 1404, 1404, 1404, 1404,
4192
4193 347, 347, 347, 347, 347, 1860, 347, 347, 347, 347,
4194 347, 347, 347, 347, 347, 347, 347, 347, 347, 347,
4195 347, 347, 1571, 1571, 1571, 1571, 1860, 1860, 1860, 1860,
4196 1860, 1860, 1860, 1571, 1571, 1860, 1860, 1860, 1860, 1571,
4197 1571, 1860, 1571, 1574, 1860, 1860, 1574, 1574, 1860, 1574,
4198 1574, 1574, 1574, 1574, 1574, 1574, 1574, 1574, 1574, 1574,
4199 1574, 1574, 1574, 1574, 1574, 1579, 1579, 1579, 1579, 1860,
4200 1860, 1860, 1579, 1860, 1860, 1860, 1579, 1579, 1579, 1860,
4201 1860, 1860, 1579, 1579, 1860, 1579, 1581, 1581, 1581, 1581,
4202 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1581, 1581,
4203
4204 1860, 1860, 1860, 1581, 1581, 1581, 1581, 1583, 1583, 1583,
4205 1583, 1860, 1860, 1860, 1583, 1860, 1860, 1860, 1583, 1583,
4206 1583, 1860, 1860, 1860, 1583, 1583, 1583, 1583, 1584, 1584,
4207 1584, 1584, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
4208 1584, 1860, 1584, 1860, 1860, 1584, 1584, 1584, 1584, 1587,
4209 1587, 1587, 1587, 1860, 1860, 1860, 1587, 1860, 1860, 1860,
4210 1587, 1587, 1587, 1587, 1860, 1860, 1587, 1587, 1587, 1587,
4211 224, 224, 224, 224, 224, 1860, 224, 224, 224, 224,
4212 224, 224, 224, 224, 224, 224, 224, 224, 224, 224,
4213 224, 224, 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088,
4214
4215 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088, 1088,
4216 1088, 1088, 1088, 1088, 1088, 1450, 1450, 1450, 1450, 1450,
4217 1450, 1450, 1450, 1450, 1450, 1450, 1450, 1450, 1450, 1450,
4218 1860, 1450, 1450, 1450, 1450, 1450, 1450, 1450, 1360, 1360,
4219 1360, 1360, 1360, 1360, 1360, 1360, 1360, 1360, 1360, 1360,
4220 1360, 1360, 1360, 1860, 1360, 1360, 1360, 1360, 1360, 1360,
4221 1360, 673, 673, 673, 673, 1860, 673, 1860, 1860, 673,
4222 673, 673, 1860, 673, 1860, 673, 673, 1860, 673, 673,
4223 1860, 673, 674, 674, 674, 674, 1860, 674, 1860, 1860,
4224 674, 674, 674, 674, 674, 1860, 674, 674, 1860, 674,
4225
4226 674, 1860, 674, 1632, 1632, 1632, 1632, 1860, 1860, 1860,
4227 1860, 1860, 1860, 1860, 1632, 1632, 1860, 1860, 1860, 1860,
4228 1632, 1632, 1860, 1632, 1635, 1860, 1860, 1635, 1635, 1860,
4229 1635, 1635, 1635, 1635, 1635, 1635, 1635, 1635, 1635, 1635,
4230 1635, 1635, 1635, 1635, 1635, 1635, 1640, 1640, 1640, 1640,
4231 1860, 1860, 1860, 1640, 1860, 1860, 1860, 1640, 1640, 1640,
4232 1860, 1860, 1860, 1640, 1640, 1860, 1640, 1643, 1643, 1643,
4233 1643, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1643, 1643,
4234 1860, 1643, 1860, 1860, 1643, 1643, 1860, 1643, 1646, 1860,
4235 1860, 1646, 1646, 1860, 1646, 1646, 1646, 1646, 1646, 1646,
4236
4237 1646, 1646, 1646, 1646, 1646, 1646, 1646, 1646, 1646, 1646,
4238 1651, 1651, 1651, 1651, 1860, 1860, 1860, 1651, 1860, 1860,
4239 1860, 1651, 1651, 1651, 1651, 1860, 1860, 1651, 1651, 1860,
4240 1651, 1751, 1751, 1751, 1751, 1860, 1860, 1860, 1860, 1860,
4241 1860, 1860, 1860, 1751, 1860, 1860, 1860, 1860, 1751, 1751,
4242 1751, 1751, 1758, 1758, 1758, 1758, 1860, 1860, 1860, 1758,
4243 1860, 1860, 1860, 1758, 1758, 1758, 1860, 1860, 1860, 1758,
4244 1758, 1758, 1758, 1780, 1780, 1780, 1780, 1860, 1860, 1860,
4245 1860, 1860, 1860, 1860, 1780, 1780, 1860, 1860, 1860, 1860,
4246 1780, 1780, 1860, 1780, 1783, 1860, 1860, 1783, 1783, 1860,
4247
4248 1783, 1783, 1783, 1783, 1783, 1783, 1783, 1783, 1783, 1783,
4249 1783, 1783, 1783, 1783, 1783, 1783, 1786, 1786, 1786, 1786,
4250 1786, 1860, 1786, 1786, 1786, 1786, 1786, 1786, 1786, 1786,
4251 1786, 1786, 1786, 1786, 1786, 1786, 1786, 1786, 1791, 1791,
4252 1791, 1791, 1860, 1860, 1860, 1791, 1860, 1860, 1860, 1791,
4253 1791, 1791, 1860, 1860, 1860, 1791, 1791, 1860, 1791, 1793,
4254 1793, 1793, 1793, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
4255 1860, 1793, 1860, 1793, 1860, 1860, 1793, 1793, 1793, 1793,
4256 1795, 1795, 1795, 1795, 1860, 1860, 1860, 1795, 1860, 1860,
4257 1860, 1795, 1795, 1795, 1795, 1860, 1860, 1795, 1795, 1795,
4258
4259 1795, 1813, 1813, 1813, 1813, 1813, 1860, 1813, 1813, 1813,
4260 1813, 1813, 1813, 1813, 1813, 1813, 1813, 1813, 1813, 1813,
4261 1813, 1813, 1813, 1816, 1816, 1816, 1816, 1816, 1860, 1816,
4262 1816, 1816, 1816, 1816, 1816, 1816, 1816, 1816, 1816, 1816,
4263 1816, 1816, 1816, 1816, 1816, 1823, 1823, 1860, 1860, 1860,
4264 1860, 1823, 1823, 1860, 1823, 1825, 1860, 1860, 1825, 1825,
4265 1860, 1825, 1825, 1825, 1825, 1825, 1825, 1825, 1825, 1825,
4266 1825, 1825, 1825, 1825, 1825, 1825, 1825, 1827, 1827, 1827,
4267 1827, 1860, 1860, 1860, 1827, 1860, 1860, 1860, 1827, 1827,
4268 1827, 1860, 1860, 1860, 1827, 1827, 1860, 1827, 1854, 1854,
4269
4270 1854, 1854, 1854, 1860, 1854, 1854, 1854, 1854, 1854, 1854,
4271 1854, 1854, 1854, 1854, 1854, 1854, 1854, 1854, 1854, 1854,
4272 1859, 1859, 1859, 1859, 1859, 1860, 1859, 1859, 1859, 1859,
4273 1859, 1859, 1859, 1859, 1859, 1859, 1859, 1859, 1859, 1859,
4274 1859, 1859, 33, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
4275 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
4276 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
4277 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
4278 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
4279 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
4280
4281 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860
4282 } ;
4283
4284static yyconst flex_int16_t yy_chk[27311] =
4285 { 0,
4286 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
4287 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,
4288 1, 35, 35, 35, 35, 36, 36, 36, 36, 57,
4289 71, 253, 40, 70, 35, 55, 56, 66, 36, 10,
4290 40, 40, 10, 1, 37, 37, 37, 37, 829, 46,
4291 46, 46, 46, 57, 71, 253, 70, 37, 55, 56,
4292 66, 44, 46, 796, 10, 46, 1, 2, 2, 2,
4293 2, 2, 2, 2, 2, 2, 2, 2, 2, 2,
4294 2, 2, 2, 2, 2, 2, 2, 2, 10, 44,
4295 67, 74, 69, 2, 780, 47, 47, 47, 47, 50,
4296
4297 50, 50, 50, 58, 69, 58, 113, 115, 47, 744,
4298 2, 47, 44, 67, 74, 50, 69, 2, 77, 77,
4299 77, 77, 339, 172, 714, 339, 58, 69, 58, 113,
4300 115, 77, 172, 2, 3, 3, 3, 3, 3, 3,
4301 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
4302 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
4303 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
4304 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
4305 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
4306 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
4307
4308 3, 4, 4, 4, 4, 23, 712, 420, 23, 25,
4309 75, 82, 25, 337, 75, 78, 78, 78, 78, 82,
4310 82, 4, 337, 4, 711, 4, 4, 4, 78, 4,
4311 23, 420, 4, 75, 25, 100, 4, 75, 4, 4,
4312 4, 694, 662, 100, 100, 4, 1071, 4, 128, 4,
4313 4, 4, 1071, 4, 23, 4, 128, 128, 25, 4,
4314 660, 4, 4, 4, 5, 5, 5, 5, 5, 5,
4315 5, 5, 5, 5, 5, 5, 5, 5, 5, 5,
4316 5, 5, 5, 5, 5, 51, 51, 51, 51, 179,
4317 567, 51, 233, 241, 51, 51, 242, 65, 566, 51,
4318
4319 51, 51, 65, 31, 65, 422, 31, 5, 60, 60,
4320 60, 60, 179, 140, 60, 233, 241, 60, 60, 242,
4321 65, 140, 140, 734, 60, 65, 734, 65, 31, 422,
4322 5, 6, 6, 6, 6, 6, 6, 6, 6, 6,
4323 6, 6, 6, 6, 6, 6, 6, 6, 6, 6,
4324 6, 6, 31, 68, 73, 73, 231, 6, 565, 68,
4325 146, 219, 219, 553, 68, 231, 73, 150, 146, 146,
4326 43, 43, 43, 43, 6, 150, 150, 68, 73, 73,
4327 231, 6, 68, 43, 552, 219, 219, 68, 231, 73,
4328 89, 89, 89, 89, 545, 43, 89, 6, 7, 7,
4329
4330 7, 7, 7, 7, 7, 7, 7, 7, 7, 7,
4331 7, 7, 7, 7, 7, 7, 7, 7, 7, 43,
4332 72, 218, 79, 79, 79, 79, 533, 108, 108, 108,
4333 108, 275, 218, 527, 72, 79, 72, 551, 526, 72,
4334 108, 7, 173, 449, 72, 218, 88, 88, 88, 88,
4335 173, 173, 88, 551, 275, 218, 104, 72, 87, 72,
4336 88, 88, 72, 103, 7, 8, 8, 8, 8, 8,
4337 8, 8, 8, 8, 8, 8, 8, 8, 8, 8,
4338 8, 8, 8, 8, 8, 8, 87, 104, 221, 446,
4339 103, 8, 109, 109, 109, 109, 442, 440, 110, 110,
4340
4341 110, 110, 221, 221, 256, 109, 256, 439, 8, 87,
4342 104, 110, 221, 103, 161, 8, 116, 116, 116, 116,
4343 161, 161, 161, 161, 438, 221, 221, 161, 256, 116,
4344 256, 8, 9, 9, 9, 9, 9, 9, 9, 9,
4345 9, 9, 9, 9, 9, 9, 9, 9, 9, 9,
4346 9, 9, 9, 9, 9, 9, 9, 9, 9, 9,
4347 9, 9, 9, 9, 9, 9, 9, 9, 9, 9,
4348 9, 9, 9, 9, 9, 9, 9, 9, 9, 9,
4349 9, 9, 9, 9, 9, 9, 9, 9, 9, 9,
4350 9, 9, 9, 9, 9, 9, 9, 9, 9, 11,
4351
4352 11, 11, 11, 11, 11, 11, 11, 11, 11, 11,
4353 11, 11, 11, 11, 11, 11, 11, 11, 11, 11,
4354 117, 117, 117, 117, 11, 252, 252, 184, 192, 193,
4355 184, 192, 193, 117, 118, 118, 118, 118, 85, 85,
4356 85, 85, 11, 184, 192, 193, 1541, 118, 11, 252,
4357 252, 85, 1541, 437, 91, 91, 91, 91, 429, 416,
4358 91, 107, 133, 85, 180, 11, 12, 12, 12, 12,
4359 12, 12, 12, 12, 12, 12, 12, 12, 12, 12,
4360 12, 12, 12, 12, 12, 12, 12, 85, 91, 107,
4361 133, 12, 12, 415, 180, 258, 258, 123, 123, 123,
4362
4363 123, 124, 124, 124, 124, 114, 114, 114, 114, 12,
4364 123, 91, 107, 133, 124, 12, 12, 180, 114, 258,
4365 258, 265, 265, 265, 265, 195, 195, 195, 195, 336,
4366 114, 145, 12, 13, 13, 13, 13, 13, 13, 13,
4367 13, 13, 13, 13, 13, 13, 13, 13, 13, 13,
4368 13, 13, 13, 13, 114, 125, 125, 125, 125, 145,
4369 195, 276, 234, 335, 154, 154, 154, 154, 125, 277,
4370 234, 298, 120, 120, 120, 120, 13, 154, 155, 155,
4371 155, 155, 145, 195, 276, 120, 234, 268, 268, 268,
4372 268, 155, 277, 234, 298, 130, 142, 120, 333, 13,
4373
4374 14, 14, 14, 14, 14, 14, 14, 14, 14, 14,
4375 14, 14, 14, 14, 14, 14, 14, 14, 14, 14,
4376 14, 120, 130, 142, 303, 235, 14, 1082, 320, 235,
4377 1082, 164, 164, 164, 164, 165, 165, 165, 165, 132,
4378 132, 132, 132, 14, 164, 130, 142, 303, 165, 235,
4379 14, 288, 132, 235, 271, 271, 271, 271, 313, 288,
4380 288, 149, 153, 306, 132, 283, 14, 15, 15, 15,
4381 15, 15, 15, 15, 15, 15, 15, 15, 15, 15,
4382 15, 15, 15, 15, 15, 15, 15, 15, 132, 149,
4383 153, 243, 15, 419, 419, 419, 419, 243, 182, 182,
4384
4385 182, 182, 185, 185, 185, 185, 178, 178, 178, 178,
4386 15, 182, 149, 153, 182, 243, 15, 287, 185, 178,
4387 287, 243, 529, 529, 529, 529, 532, 532, 532, 532,
4388 287, 178, 264, 15, 16, 16, 16, 16, 16, 16,
4389 16, 16, 16, 16, 16, 16, 16, 16, 16, 16,
4390 16, 16, 16, 16, 16, 178, 189, 230, 214, 16,
4391 16, 214, 191, 191, 191, 191, 189, 230, 189, 197,
4392 197, 197, 197, 312, 214, 191, 225, 16, 191, 225,
4393 189, 230, 693, 16, 16, 197, 307, 263, 207, 189,
4394 230, 189, 225, 174, 307, 307, 312, 170, 693, 166,
4395
4396 16, 17, 17, 17, 17, 17, 17, 17, 17, 17,
4397 17, 17, 17, 17, 17, 17, 17, 17, 17, 17,
4398 17, 17, 198, 198, 198, 198, 17, 199, 199, 199,
4399 199, 200, 200, 200, 200, 319, 260, 151, 198, 147,
4400 200, 200, 260, 199, 17, 141, 200, 200, 314, 139,
4401 17, 129, 281, 281, 281, 281, 314, 314, 319, 127,
4402 260, 216, 216, 216, 216, 281, 260, 17, 18, 18,
4403 18, 18, 18, 18, 18, 18, 18, 18, 18, 18,
4404 18, 18, 18, 18, 18, 18, 18, 18, 18, 201,
4405 201, 201, 201, 18, 18, 201, 216, 338, 201, 201,
4406
4407 261, 247, 261, 201, 201, 201, 202, 202, 202, 202,
4408 247, 18, 102, 99, 86, 202, 202, 18, 18, 216,
4409 338, 83, 202, 81, 261, 247, 261, 663, 663, 663,
4410 663, 41, 39, 247, 18, 19, 19, 19, 19, 19,
4411 19, 19, 19, 19, 19, 19, 19, 19, 19, 19,
4412 19, 19, 19, 19, 19, 19, 212, 212, 212, 212,
4413 213, 213, 213, 213, 220, 222, 257, 33, 345, 345,
4414 257, 1183, 212, 380, 1183, 220, 213, 222, 19, 0,
4415 266, 266, 266, 266, 282, 282, 282, 282, 220, 222,
4416 257, 345, 345, 0, 257, 266, 380, 282, 220, 0,
4417
4418 222, 19, 20, 20, 20, 20, 20, 20, 20, 20,
4419 20, 20, 20, 20, 20, 20, 20, 20, 20, 20,
4420 20, 20, 20, 229, 238, 239, 244, 250, 20, 0,
4421 229, 251, 249, 322, 239, 374, 322, 229, 239, 250,
4422 374, 238, 244, 249, 251, 20, 322, 229, 238, 239,
4423 244, 250, 20, 229, 0, 251, 249, 239, 0, 374,
4424 229, 239, 250, 374, 238, 244, 249, 251, 20, 21,
4425 21, 21, 21, 21, 21, 21, 21, 21, 21, 21,
4426 21, 21, 21, 21, 21, 21, 21, 21, 21, 21,
4427 232, 245, 236, 248, 232, 371, 236, 254, 371, 0,
4428
4429 232, 245, 245, 0, 393, 232, 236, 248, 236, 254,
4430 340, 236, 21, 340, 232, 245, 236, 248, 232, 371,
4431 236, 254, 371, 232, 245, 245, 340, 393, 232, 236,
4432 248, 236, 254, 0, 236, 21, 22, 22, 22, 22,
4433 22, 22, 22, 22, 22, 22, 22, 22, 22, 22,
4434 22, 22, 22, 22, 22, 22, 22, 237, 246, 246,
4435 237, 237, 22, 255, 435, 259, 461, 292, 292, 292,
4436 292, 378, 237, 378, 246, 359, 359, 255, 259, 22,
4437 292, 237, 246, 246, 237, 237, 22, 255, 435, 259,
4438 461, 0, 0, 0, 378, 237, 378, 246, 359, 359,
4439
4440 255, 259, 22, 27, 27, 27, 27, 27, 27, 27,
4441 27, 27, 27, 27, 27, 27, 27, 27, 27, 27,
4442 27, 27, 27, 27, 267, 267, 267, 267, 376, 376,
4443 267, 394, 0, 0, 293, 293, 293, 293, 267, 267,
4444 0, 0, 262, 262, 262, 262, 27, 293, 0, 0,
4445 348, 376, 376, 348, 394, 262, 272, 272, 272, 272,
4446 0, 0, 279, 279, 279, 279, 348, 262, 279, 27,
4447 28, 28, 28, 28, 28, 28, 28, 28, 28, 28,
4448 28, 28, 28, 28, 28, 28, 28, 28, 28, 28,
4449 28, 262, 272, 278, 289, 375, 28, 0, 279, 328,
4450
4451 375, 398, 289, 289, 0, 328, 328, 328, 328, 432,
4452 278, 289, 328, 28, 1184, 272, 428, 1184, 375, 428,
4453 28, 279, 0, 375, 398, 341, 341, 341, 341, 428,
4454 1339, 0, 432, 1339, 278, 289, 28, 29, 29, 29,
4455 29, 29, 29, 29, 29, 29, 29, 29, 29, 29,
4456 29, 29, 29, 29, 29, 29, 29, 29, 300, 304,
4457 341, 344, 358, 358, 344, 344, 360, 360, 358, 441,
4458 360, 0, 441, 0, 502, 300, 304, 368, 368, 0,
4459 29, 29, 441, 341, 344, 358, 358, 344, 344, 360,
4460 360, 358, 0, 360, 350, 350, 350, 350, 502, 300,
4461
4462 304, 368, 368, 29, 30, 30, 30, 30, 30, 30,
4463 30, 30, 30, 30, 30, 30, 30, 30, 30, 30,
4464 30, 30, 30, 30, 30, 135, 135, 135, 135, 350,
4465 30, 0, 382, 0, 382, 364, 364, 364, 135, 364,
4466 381, 381, 462, 136, 136, 136, 136, 30, 30, 135,
4467 0, 0, 350, 0, 30, 382, 136, 382, 364, 364,
4468 364, 0, 364, 381, 381, 462, 0, 136, 400, 400,
4469 30, 38, 0, 135, 38, 38, 38, 38, 38, 38,
4470 38, 38, 38, 38, 38, 38, 38, 38, 38, 38,
4471 38, 136, 400, 400, 137, 137, 137, 137, 377, 0,
4472
4473 390, 379, 390, 377, 379, 385, 385, 137, 291, 144,
4474 144, 144, 144, 38, 38, 503, 291, 291, 137, 0,
4475 0, 377, 144, 390, 379, 390, 377, 379, 385, 385,
4476 0, 0, 0, 144, 144, 543, 38, 42, 291, 503,
4477 42, 42, 137, 42, 42, 42, 42, 42, 42, 42,
4478 42, 42, 42, 42, 42, 42, 42, 144, 144, 543,
4479 332, 291, 62, 62, 62, 62, 332, 332, 332, 332,
4480 497, 542, 403, 332, 0, 62, 0, 0, 62, 42,
4481 42, 0, 290, 290, 290, 290, 403, 62, 401, 0,
4482 0, 332, 0, 497, 542, 290, 403, 62, 367, 367,
4483
4484 367, 367, 42, 45, 45, 45, 45, 290, 45, 403,
4485 401, 62, 45, 45, 332, 369, 45, 45, 45, 45,
4486 62, 0, 0, 367, 63, 63, 63, 63, 369, 45,
4487 0, 290, 0, 0, 401, 0, 0, 63, 45, 369,
4488 63, 297, 297, 297, 297, 45, 45, 367, 0, 63,
4489 0, 369, 0, 45, 297, 93, 93, 93, 93, 63,
4490 392, 45, 48, 48, 48, 48, 297, 48, 93, 392,
4491 0, 48, 48, 63, 370, 48, 48, 48, 48, 93,
4492 0, 0, 63, 93, 392, 0, 0, 370, 48, 544,
4493 297, 0, 392, 301, 301, 301, 301, 48, 370, 352,
4494
4495 352, 352, 352, 93, 48, 48, 301, 93, 352, 352,
4496 370, 0, 48, 544, 352, 352, 0, 301, 0, 0,
4497 48, 49, 0, 627, 49, 49, 49, 49, 49, 49,
4498 49, 49, 49, 49, 49, 49, 49, 49, 49, 49,
4499 49, 301, 384, 94, 94, 94, 94, 627, 0, 95,
4500 95, 95, 95, 384, 0, 570, 94, 206, 206, 206,
4501 206, 0, 95, 49, 49, 0, 384, 94, 388, 645,
4502 387, 94, 383, 95, 0, 388, 384, 95, 570, 206,
4503 391, 206, 0, 387, 383, 391, 49, 52, 52, 52,
4504 52, 94, 388, 645, 387, 94, 383, 95, 388, 399,
4505
4506 52, 95, 399, 206, 391, 206, 387, 383, 391, 52,
4507 0, 52, 402, 52, 682, 402, 417, 417, 417, 417,
4508 0, 163, 52, 399, 0, 0, 399, 163, 163, 163,
4509 163, 417, 0, 52, 163, 52, 402, 52, 682, 402,
4510 488, 208, 208, 208, 208, 52, 53, 53, 53, 53,
4511 163, 53, 453, 0, 0, 53, 53, 53, 53, 53,
4512 53, 453, 53, 208, 53, 208, 210, 210, 210, 210,
4513 488, 386, 395, 163, 386, 208, 453, 395, 302, 302,
4514 302, 302, 53, 0, 453, 425, 404, 208, 210, 208,
4515 210, 302, 0, 488, 386, 0, 395, 386, 208, 0,
4516
4517 404, 395, 302, 425, 0, 53, 54, 54, 54, 54,
4518 404, 54, 210, 0, 210, 54, 54, 54, 54, 54,
4519 54, 0, 54, 404, 54, 59, 302, 425, 59, 59,
4520 0, 59, 59, 59, 59, 59, 59, 59, 59, 59,
4521 59, 59, 59, 59, 59, 389, 504, 0, 0, 209,
4522 209, 209, 209, 211, 211, 211, 211, 389, 504, 305,
4523 305, 305, 305, 227, 227, 227, 227, 59, 59, 389,
4524 504, 209, 305, 209, 0, 211, 227, 211, 410, 227,
4525 389, 504, 209, 305, 305, 0, 410, 211, 227, 0,
4526 59, 61, 61, 61, 61, 209, 0, 209, 227, 211,
4527
4528 407, 211, 410, 407, 0, 209, 0, 305, 305, 410,
4529 211, 61, 227, 61, 396, 61, 61, 61, 689, 61,
4530 522, 227, 61, 397, 407, 396, 61, 407, 61, 61,
4531 61, 0, 522, 397, 0, 61, 0, 61, 396, 61,
4532 61, 61, 689, 61, 522, 61, 0, 397, 396, 61,
4533 0, 61, 61, 61, 80, 522, 397, 80, 80, 80,
4534 80, 80, 80, 80, 80, 80, 80, 80, 80, 80,
4535 80, 80, 80, 80, 405, 409, 406, 408, 408, 0,
4536 0, 406, 411, 456, 0, 405, 409, 412, 412, 716,
4537 353, 353, 353, 353, 456, 411, 80, 80, 405, 409,
4538
4539 406, 408, 408, 353, 406, 0, 411, 456, 405, 409,
4540 0, 412, 412, 716, 0, 0, 353, 456, 411, 80,
4541 84, 0, 0, 84, 84, 353, 84, 84, 84, 84,
4542 84, 84, 84, 84, 84, 84, 84, 84, 84, 84,
4543 353, 413, 414, 414, 414, 414, 467, 467, 353, 0,
4544 0, 0, 470, 0, 413, 421, 421, 421, 421, 0,
4545 0, 470, 84, 84, 571, 413, 546, 414, 421, 546,
4546 467, 467, 434, 434, 434, 434, 470, 413, 434, 546,
4547 431, 431, 431, 431, 470, 84, 90, 571, 0, 90,
4548 90, 414, 90, 90, 90, 90, 90, 90, 90, 90,
4549
4550 90, 90, 90, 90, 90, 90, 0, 434, 240, 240,
4551 240, 240, 273, 273, 273, 273, 431, 478, 0, 431,
4552 0, 240, 0, 0, 240, 273, 0, 478, 90, 90,
4553 434, 0, 724, 240, 0, 0, 273, 0, 0, 431,
4554 273, 478, 431, 240, 454, 274, 274, 274, 274, 454,
4555 478, 90, 106, 106, 106, 106, 724, 240, 274, 452,
4556 273, 452, 580, 455, 273, 106, 240, 454, 455, 274,
4557 0, 466, 454, 274, 466, 471, 106, 106, 471, 444,
4558 106, 444, 452, 0, 452, 580, 455, 444, 444, 444,
4559 444, 455, 0, 274, 444, 466, 0, 274, 466, 471,
4560
4561 106, 106, 471, 0, 106, 111, 111, 581, 111, 111,
4562 111, 111, 111, 111, 111, 111, 111, 111, 111, 111,
4563 111, 111, 111, 111, 111, 111, 472, 479, 479, 472,
4564 581, 505, 0, 468, 505, 451, 451, 451, 451, 0,
4565 523, 523, 523, 523, 0, 0, 468, 0, 111, 0,
4566 472, 479, 479, 472, 0, 505, 523, 468, 505, 0,
4567 0, 354, 354, 354, 354, 424, 424, 424, 424, 468,
4568 451, 111, 112, 112, 354, 112, 112, 112, 112, 112,
4569 112, 112, 112, 112, 112, 112, 112, 354, 0, 112,
4570 112, 112, 112, 451, 483, 0, 354, 483, 469, 0,
4571
4572 424, 424, 473, 473, 473, 473, 0, 0, 0, 469,
4573 469, 354, 597, 564, 0, 112, 564, 483, 473, 354,
4574 483, 0, 469, 424, 424, 0, 564, 0, 355, 355,
4575 355, 355, 469, 469, 0, 597, 0, 473, 112, 119,
4576 119, 355, 119, 119, 119, 119, 119, 119, 119, 119,
4577 119, 119, 119, 119, 355, 0, 119, 476, 119, 119,
4578 473, 626, 0, 355, 474, 474, 474, 474, 476, 475,
4579 475, 475, 475, 524, 524, 524, 524, 484, 355, 484,
4580 474, 476, 119, 0, 626, 475, 355, 0, 0, 524,
4581 568, 476, 0, 568, 0, 463, 463, 463, 463, 474,
4582
4583 484, 0, 484, 568, 475, 119, 121, 121, 463, 121,
4584 121, 121, 121, 121, 121, 121, 121, 121, 121, 121,
4585 121, 463, 474, 121, 482, 121, 121, 475, 485, 555,
4586 463, 555, 485, 486, 487, 489, 0, 482, 486, 492,
4587 669, 121, 492, 487, 493, 463, 489, 493, 482, 121,
4588 0, 485, 555, 463, 555, 485, 0, 486, 487, 489,
4589 482, 486, 492, 669, 121, 492, 487, 493, 0, 489,
4590 493, 0, 121, 148, 516, 516, 148, 148, 0, 148,
4591 148, 148, 148, 148, 148, 148, 148, 148, 148, 148,
4592 148, 148, 148, 0, 490, 491, 494, 495, 516, 516,
4593
4594 490, 491, 496, 498, 670, 496, 495, 494, 498, 464,
4595 464, 464, 464, 677, 677, 148, 148, 490, 491, 0,
4596 494, 495, 464, 490, 491, 496, 498, 670, 496, 495,
4597 494, 498, 0, 0, 0, 464, 677, 677, 148, 152,
4598 0, 681, 152, 152, 464, 152, 152, 152, 152, 152,
4599 152, 152, 152, 152, 152, 152, 152, 152, 152, 464,
4600 499, 506, 0, 500, 681, 507, 508, 464, 500, 499,
4601 506, 477, 477, 477, 477, 0, 0, 0, 507, 508,
4602 477, 152, 152, 0, 499, 506, 500, 0, 0, 507,
4603 508, 500, 499, 506, 0, 0, 477, 501, 501, 501,
4604
4605 501, 507, 508, 0, 152, 158, 158, 158, 158, 158,
4606 158, 158, 158, 158, 158, 158, 158, 158, 158, 158,
4607 477, 511, 158, 158, 158, 158, 512, 514, 630, 0,
4608 501, 513, 514, 0, 511, 630, 512, 590, 509, 509,
4609 509, 509, 513, 518, 518, 511, 0, 590, 158, 894,
4610 512, 514, 630, 501, 894, 513, 514, 511, 630, 512,
4611 894, 590, 894, 509, 515, 513, 0, 518, 518, 515,
4612 590, 158, 159, 159, 159, 159, 159, 159, 159, 159,
4613 159, 159, 159, 159, 159, 159, 159, 509, 515, 159,
4614 159, 159, 159, 515, 519, 525, 525, 525, 525, 519,
4615
4616 591, 520, 0, 531, 531, 531, 531, 159, 591, 683,
4617 690, 525, 0, 0, 0, 159, 531, 520, 519, 1318,
4618 1318, 1318, 1318, 519, 591, 520, 554, 554, 554, 554,
4619 159, 591, 683, 690, 517, 517, 517, 517, 159, 160,
4620 520, 0, 160, 160, 160, 160, 160, 160, 160, 160,
4621 160, 160, 160, 160, 160, 160, 160, 160, 160, 517,
4622 0, 554, 517, 536, 536, 536, 536, 0, 540, 517,
4623 540, 562, 684, 540, 562, 684, 521, 521, 521, 521,
4624 536, 160, 160, 517, 554, 684, 517, 633, 633, 633,
4625 633, 540, 517, 540, 562, 0, 540, 562, 0, 0,
4626
4627 0, 521, 0, 633, 160, 162, 0, 0, 162, 162,
4628 0, 162, 162, 162, 162, 162, 162, 162, 162, 162,
4629 162, 162, 162, 162, 162, 521, 593, 530, 530, 530,
4630 530, 594, 534, 534, 534, 534, 593, 594, 0, 547,
4631 547, 547, 547, 0, 530, 696, 0, 162, 162, 534,
4632 593, 0, 530, 629, 0, 594, 547, 534, 629, 593,
4633 697, 594, 530, 548, 548, 548, 548, 534, 696, 530,
4634 162, 186, 186, 186, 186, 547, 530, 629, 547, 0,
4635 0, 534, 629, 697, 186, 530, 558, 558, 558, 558,
4636 534, 0, 599, 186, 599, 186, 0, 186, 547, 548,
4637
4638 767, 547, 548, 558, 605, 0, 186, 634, 634, 634,
4639 634, 600, 600, 600, 600, 605, 599, 186, 599, 186,
4640 600, 186, 548, 634, 767, 548, 0, 600, 605, 186,
4641 187, 187, 187, 187, 685, 187, 0, 685, 605, 187,
4642 187, 187, 187, 187, 187, 0, 187, 685, 187, 188,
4643 188, 188, 188, 686, 188, 0, 686, 0, 188, 188,
4644 188, 188, 188, 188, 604, 188, 686, 188, 574, 574,
4645 574, 574, 604, 188, 0, 0, 0, 574, 601, 601,
4646 601, 601, 0, 602, 602, 602, 602, 601, 604, 0,
4647 0, 0, 602, 687, 601, 604, 0, 188, 190, 602,
4648
4649 687, 190, 190, 574, 190, 190, 190, 190, 190, 190,
4650 190, 190, 190, 190, 190, 190, 190, 190, 575, 575,
4651 575, 575, 631, 641, 687, 641, 574, 575, 631, 598,
4652 598, 598, 598, 768, 603, 603, 603, 603, 0, 0,
4653 190, 190, 575, 603, 0, 598, 631, 641, 0, 641,
4654 603, 0, 631, 608, 608, 608, 608, 768, 584, 584,
4655 584, 584, 608, 190, 194, 598, 575, 194, 194, 194,
4656 194, 194, 194, 194, 194, 194, 194, 194, 194, 194,
4657 194, 194, 194, 194, 584, 0, 0, 0, 598, 708,
4658 608, 650, 708, 584, 610, 610, 610, 610, 635, 635,
4659
4660 635, 635, 708, 610, 650, 0, 194, 194, 584, 613,
4661 613, 613, 613, 608, 635, 650, 584, 0, 613, 0,
4662 0, 813, 609, 609, 609, 609, 0, 650, 610, 194,
4663 196, 609, 813, 196, 196, 196, 196, 196, 196, 196,
4664 196, 196, 196, 196, 196, 196, 196, 196, 196, 196,
4665 609, 610, 535, 535, 535, 535, 813, 0, 556, 556,
4666 556, 556, 617, 617, 617, 617, 643, 0, 643, 535,
4667 0, 617, 196, 196, 609, 556, 0, 535, 582, 582,
4668 582, 582, 617, 556, 0, 0, 0, 535, 632, 0,
4669 643, 582, 643, 556, 535, 196, 203, 203, 203, 203,
4670
4671 556, 535, 0, 632, 582, 644, 617, 556, 688, 203,
4672 535, 0, 632, 582, 709, 644, 556, 709, 203, 651,
4673 203, 814, 203, 0, 688, 651, 632, 709, 582, 644,
4674 0, 203, 611, 611, 611, 611, 582, 815, 644, 704,
4675 704, 611, 203, 651, 203, 814, 203, 688, 651, 715,
4676 592, 592, 592, 592, 203, 215, 215, 215, 215, 0,
4677 215, 815, 704, 704, 215, 215, 215, 215, 215, 215,
4678 611, 215, 715, 215, 217, 217, 217, 217, 592, 217,
4679 0, 0, 592, 217, 217, 217, 217, 217, 217, 0,
4680 217, 0, 217, 611, 655, 0, 217, 628, 628, 628,
4681
4682 628, 710, 592, 655, 710, 592, 612, 612, 612, 612,
4683 614, 614, 614, 614, 710, 612, 0, 812, 655, 614,
4684 217, 223, 812, 628, 223, 223, 655, 223, 223, 223,
4685 223, 223, 223, 223, 223, 223, 223, 223, 223, 223,
4686 223, 0, 653, 0, 612, 653, 812, 628, 614, 636,
4687 636, 636, 636, 616, 616, 616, 616, 583, 583, 583,
4688 583, 725, 616, 223, 223, 636, 653, 612, 0, 653,
4689 583, 614, 624, 624, 624, 624, 623, 623, 623, 623,
4690 0, 624, 0, 583, 725, 623, 223, 224, 0, 616,
4691 224, 224, 583, 224, 224, 224, 224, 224, 224, 224,
4692
4693 224, 224, 224, 224, 224, 224, 224, 583, 557, 557,
4694 557, 557, 616, 713, 0, 583, 713, 623, 741, 618,
4695 618, 618, 618, 0, 0, 557, 713, 0, 618, 224,
4696 224, 0, 0, 557, 758, 585, 585, 585, 585, 618,
4697 623, 741, 758, 557, 637, 637, 637, 637, 585, 695,
4698 557, 695, 224, 226, 226, 226, 226, 557, 758, 654,
4699 637, 585, 0, 618, 654, 758, 557, 0, 0, 0,
4700 585, 0, 695, 226, 695, 226, 652, 226, 226, 226,
4701 821, 226, 732, 654, 226, 585, 652, 654, 226, 652,
4702 226, 226, 226, 585, 676, 732, 0, 226, 676, 226,
4703
4704 652, 226, 226, 226, 821, 226, 732, 226, 0, 652,
4705 0, 226, 652, 226, 226, 226, 269, 676, 732, 269,
4706 269, 676, 269, 269, 269, 269, 269, 269, 269, 269,
4707 269, 269, 269, 269, 269, 269, 750, 0, 769, 619,
4708 619, 619, 619, 0, 615, 615, 615, 615, 619, 750,
4709 0, 0, 0, 615, 657, 657, 657, 657, 269, 269,
4710 750, 769, 0, 619, 622, 622, 622, 622, 0, 0,
4711 657, 0, 750, 622, 625, 625, 625, 625, 0, 615,
4712 0, 269, 270, 625, 622, 270, 270, 619, 270, 270,
4713 270, 270, 270, 270, 270, 270, 270, 270, 270, 270,
4714
4715 270, 270, 615, 770, 621, 621, 621, 621, 622, 646,
4716 0, 625, 646, 621, 642, 642, 642, 642, 770, 647,
4717 647, 647, 647, 646, 270, 270, 0, 770, 621, 656,
4718 656, 656, 656, 646, 625, 647, 646, 0, 0, 719,
4719 642, 770, 719, 789, 647, 831, 646, 270, 280, 280,
4720 280, 280, 621, 0, 647, 656, 763, 666, 666, 666,
4721 666, 280, 719, 0, 642, 719, 789, 763, 647, 831,
4722 0, 736, 280, 280, 666, 0, 280, 647, 811, 656,
4723 763, 811, 658, 658, 658, 658, 659, 659, 659, 659,
4724 763, 811, 736, 666, 799, 736, 280, 280, 658, 737,
4725
4726 280, 284, 659, 284, 284, 737, 284, 751, 751, 284,
4727 284, 284, 284, 284, 284, 736, 666, 799, 1322, 1322,
4728 1322, 1322, 737, 0, 665, 665, 665, 665, 737, 0,
4729 751, 751, 284, 284, 284, 284, 284, 284, 284, 285,
4730 285, 665, 285, 285, 285, 285, 285, 285, 285, 285,
4731 285, 285, 285, 285, 0, 285, 285, 285, 285, 285,
4732 738, 0, 0, 665, 672, 672, 672, 672, 673, 673,
4733 673, 673, 680, 680, 680, 680, 746, 738, 746, 765,
4734 765, 672, 285, 0, 738, 673, 665, 0, 0, 680,
4735 0, 698, 698, 698, 698, 1393, 1393, 1393, 1393, 746,
4736
4737 738, 746, 765, 765, 800, 285, 286, 286, 698, 286,
4738 286, 286, 286, 286, 286, 286, 286, 286, 286, 286,
4739 286, 286, 286, 286, 286, 286, 286, 800, 808, 0,
4740 698, 700, 700, 700, 700, 699, 699, 699, 699, 809,
4741 648, 648, 648, 648, 701, 701, 701, 701, 700, 286,
4742 0, 808, 699, 698, 828, 1128, 648, 828, 1128, 0,
4743 0, 701, 809, 0, 853, 648, 0, 828, 1128, 0,
4744 0, 699, 286, 296, 296, 648, 296, 296, 296, 296,
4745 296, 296, 296, 296, 296, 296, 296, 296, 853, 648,
4746 296, 745, 296, 296, 699, 743, 0, 745, 648, 810,
4747
4748 707, 707, 707, 707, 0, 0, 913, 649, 649, 649,
4749 649, 757, 743, 757, 745, 818, 296, 707, 0, 743,
4750 745, 0, 810, 649, 0, 0, 735, 735, 735, 735,
4751 913, 0, 649, 0, 757, 743, 757, 0, 818, 296,
4752 299, 299, 649, 299, 299, 299, 299, 299, 299, 299,
4753 299, 299, 299, 299, 299, 752, 649, 299, 735, 299,
4754 299, 753, 0, 0, 761, 649, 761, 735, 753, 752,
4755 742, 742, 742, 742, 0, 756, 0, 299, 787, 752,
4756 787, 735, 819, 299, 753, 756, 742, 761, 0, 761,
4757 735, 753, 752, 0, 0, 671, 671, 671, 671, 756,
4758
4759 299, 787, 0, 787, 742, 819, 299, 309, 756, 820,
4760 309, 309, 671, 309, 309, 309, 309, 309, 309, 309,
4761 309, 309, 309, 309, 309, 309, 309, 742, 771, 671,
4762 830, 671, 820, 0, 771, 754, 914, 749, 749, 749,
4763 749, 754, 0, 730, 730, 730, 730, 839, 0, 309,
4764 309, 771, 671, 830, 671, 0, 730, 771, 0, 754,
4765 914, 0, 0, 0, 754, 755, 755, 755, 755, 730,
4766 839, 749, 309, 310, 0, 0, 310, 310, 730, 310,
4767 310, 310, 310, 310, 310, 310, 310, 310, 310, 310,
4768 310, 310, 310, 730, 749, 759, 0, 760, 762, 755,
4769
4770 762, 730, 760, 762, 764, 834, 759, 764, 834, 759,
4771 766, 766, 766, 766, 859, 310, 310, 833, 833, 759,
4772 760, 762, 755, 762, 0, 760, 762, 764, 834, 759,
4773 764, 834, 759, 772, 772, 772, 772, 859, 310, 316,
4774 833, 833, 316, 316, 766, 316, 316, 316, 316, 316,
4775 316, 316, 316, 316, 316, 316, 316, 316, 316, 774,
4776 774, 774, 774, 785, 788, 0, 792, 766, 785, 795,
4777 0, 792, 772, 868, 868, 774, 0, 0, 0, 788,
4778 858, 316, 316, 858, 795, 0, 785, 870, 788, 792,
4779 0, 785, 0, 795, 792, 772, 868, 868, 793, 793,
4780
4781 793, 793, 788, 858, 316, 317, 858, 795, 317, 317,
4782 870, 317, 317, 317, 317, 317, 317, 317, 317, 317,
4783 317, 317, 317, 317, 317, 790, 790, 790, 790, 798,
4784 798, 798, 798, 791, 791, 791, 791, 793, 866, 874,
4785 0, 790, 875, 866, 874, 879, 798, 317, 317, 791,
4786 1058, 1058, 1058, 1058, 794, 794, 794, 794, 0, 1058,
4787 793, 866, 874, 798, 790, 875, 866, 874, 879, 791,
4788 317, 321, 321, 321, 321, 321, 321, 321, 321, 321,
4789 321, 321, 321, 321, 321, 321, 798, 790, 321, 321,
4790 321, 321, 791, 794, 805, 0, 854, 832, 797, 797,
4791
4792 797, 797, 802, 802, 802, 802, 880, 854, 0, 832,
4793 805, 854, 921, 0, 321, 797, 794, 0, 805, 802,
4794 854, 832, 1608, 1608, 1608, 1608, 0, 0, 0, 880,
4795 854, 797, 832, 805, 854, 921, 802, 321, 323, 323,
4796 323, 323, 323, 323, 323, 323, 323, 323, 323, 323,
4797 323, 323, 323, 0, 797, 323, 323, 323, 323, 802,
4798 852, 856, 852, 0, 920, 801, 801, 801, 801, 0,
4799 822, 822, 822, 822, 856, 323, 856, 852, 0, 0,
4800 0, 323, 801, 922, 852, 856, 852, 822, 920, 0,
4801 0, 0, 861, 861, 861, 861, 0, 856, 323, 856,
4802
4803 852, 861, 801, 822, 323, 325, 930, 922, 325, 325,
4804 325, 325, 325, 325, 325, 325, 325, 325, 325, 325,
4805 325, 325, 325, 325, 325, 801, 822, 0, 867, 855,
4806 930, 861, 925, 857, 823, 823, 823, 823, 867, 855,
4807 840, 840, 840, 840, 855, 0, 926, 325, 325, 0,
4808 857, 823, 867, 855, 861, 925, 0, 857, 0, 0,
4809 0, 867, 855, 1617, 1617, 1617, 1617, 855, 823, 926,
4810 325, 326, 840, 857, 326, 326, 326, 326, 326, 326,
4811 326, 326, 326, 326, 326, 326, 326, 326, 326, 326,
4812 326, 823, 872, 932, 873, 840, 929, 862, 0, 872,
4813
4814 860, 860, 860, 860, 873, 881, 881, 841, 841, 841,
4815 841, 931, 862, 326, 326, 872, 860, 932, 873, 929,
4816 841, 862, 872, 863, 863, 863, 863, 873, 881, 881,
4817 0, 0, 863, 841, 931, 862, 326, 329, 860, 947,
4818 329, 329, 841, 329, 329, 329, 329, 329, 329, 329,
4819 329, 329, 329, 329, 329, 329, 329, 841, 0, 938,
4820 882, 860, 863, 947, 939, 841, 882, 869, 869, 869,
4821 869, 878, 966, 842, 842, 842, 842, 946, 0, 329,
4822 329, 878, 938, 882, 0, 863, 842, 939, 0, 882,
4823 0, 876, 876, 876, 876, 878, 966, 0, 0, 842,
4824
4825 946, 869, 329, 330, 878, 0, 330, 330, 842, 330,
4826 330, 330, 330, 330, 330, 330, 330, 330, 330, 330,
4827 330, 330, 330, 842, 869, 876, 668, 668, 668, 668,
4828 960, 842, 883, 883, 884, 884, 884, 884, 968, 877,
4829 877, 877, 877, 668, 0, 330, 330, 0, 876, 0,
4830 884, 668, 919, 960, 968, 883, 883, 1676, 1676, 1676,
4831 1676, 668, 968, 919, 901, 901, 901, 901, 330, 342,
4832 342, 342, 342, 877, 342, 668, 919, 968, 342, 342,
4833 342, 342, 342, 342, 668, 342, 919, 342, 343, 343,
4834 343, 343, 0, 343, 0, 0, 877, 343, 343, 343,
4835
4836 343, 343, 343, 901, 343, 0, 343, 885, 885, 885,
4837 885, 886, 886, 886, 886, 843, 843, 843, 843, 984,
4838 945, 343, 0, 885, 945, 924, 901, 886, 843, 902,
4839 902, 902, 902, 1722, 1722, 1722, 1722, 1724, 1724, 1724,
4840 1724, 843, 984, 945, 343, 346, 924, 945, 346, 346,
4841 843, 346, 346, 346, 346, 346, 346, 346, 346, 346,
4842 346, 346, 346, 346, 346, 843, 900, 903, 902, 924,
4843 903, 0, 900, 843, 0, 0, 904, 904, 904, 904,
4844 985, 851, 851, 851, 851, 991, 0, 346, 346, 900,
4845 903, 902, 904, 903, 851, 900, 907, 907, 907, 907,
4846
4847 0, 0, 0, 985, 0, 0, 0, 851, 991, 904,
4848 346, 347, 907, 990, 347, 347, 851, 347, 347, 347,
4849 347, 347, 347, 347, 347, 347, 347, 347, 347, 347,
4850 347, 851, 904, 906, 941, 923, 907, 990, 0, 851,
4851 905, 905, 905, 905, 941, 944, 1005, 944, 0, 1009,
4852 906, 0, 923, 347, 347, 0, 905, 906, 941, 907,
4853 910, 910, 910, 910, 0, 0, 0, 941, 0, 944,
4854 1005, 944, 1009, 906, 905, 923, 347, 349, 349, 349,
4855 349, 349, 349, 349, 349, 349, 349, 349, 349, 349,
4856 349, 349, 349, 349, 349, 349, 349, 905, 1021, 910,
4857
4858 908, 908, 908, 908, 958, 943, 0, 0, 969, 969,
4859 969, 969, 349, 981, 958, 981, 908, 969, 943, 349,
4860 349, 1021, 910, 0, 969, 0, 0, 0, 958, 943,
4861 940, 940, 940, 940, 0, 349, 981, 958, 981, 940,
4862 908, 943, 349, 351, 351, 351, 351, 351, 351, 351,
4863 351, 351, 351, 351, 351, 351, 351, 351, 351, 351,
4864 351, 351, 351, 908, 940, 909, 909, 909, 909, 0,
4865 0, 664, 664, 664, 664, 964, 964, 351, 0, 351,
4866 975, 909, 0, 0, 975, 351, 351, 940, 664, 0,
4867 0, 973, 779, 779, 779, 779, 664, 0, 964, 964,
4868
4869 351, 973, 351, 975, 0, 909, 664, 975, 351, 357,
4870 357, 357, 357, 664, 779, 973, 779, 0, 1000, 1010,
4871 664, 1000, 357, 1016, 973, 1022, 0, 779, 909, 664,
4872 965, 783, 783, 783, 783, 357, 0, 0, 779, 0,
4873 779, 357, 357, 1010, 357, 0, 0, 1016, 1022, 965,
4874 779, 976, 976, 783, 965, 783, 0, 1000, 0, 357,
4875 893, 893, 893, 893, 357, 357, 783, 357, 361, 361,
4876 361, 361, 965, 0, 976, 976, 0, 783, 0, 783,
4877 1000, 361, 893, 0, 893, 890, 890, 890, 890, 783,
4878 361, 1017, 361, 1026, 361, 970, 970, 970, 970, 891,
4879
4880 891, 891, 891, 361, 970, 0, 893, 890, 893, 890,
4881 0, 970, 891, 0, 361, 1017, 361, 1026, 361, 890,
4882 0, 1025, 0, 978, 978, 891, 361, 365, 365, 365,
4883 365, 890, 365, 890, 891, 0, 365, 365, 365, 365,
4884 365, 365, 890, 365, 1025, 365, 978, 978, 0, 891,
4885 892, 892, 892, 892, 365, 0, 0, 891, 915, 915,
4886 915, 915, 1040, 892, 942, 942, 942, 942, 0, 948,
4887 948, 948, 948, 942, 0, 915, 892, 0, 365, 366,
4888 366, 366, 366, 1019, 366, 892, 1040, 0, 366, 366,
4889 366, 366, 366, 366, 1055, 366, 915, 366, 942, 1027,
4890
4891 892, 948, 1019, 1067, 366, 0, 0, 0, 892, 911,
4892 911, 911, 911, 0, 971, 971, 971, 971, 1055, 915,
4893 0, 942, 1027, 971, 948, 1019, 911, 1067, 366, 372,
4894 971, 911, 372, 372, 0, 372, 372, 372, 372, 372,
4895 372, 372, 372, 372, 372, 372, 372, 372, 372, 951,
4896 952, 962, 0, 977, 963, 911, 1028, 977, 951, 1033,
4897 962, 1034, 952, 962, 951, 952, 962, 963, 1061, 963,
4898 1065, 372, 372, 951, 952, 962, 977, 1086, 963, 1028,
4899 977, 951, 1033, 962, 1034, 952, 962, 951, 952, 962,
4900 963, 1061, 963, 1065, 372, 373, 373, 373, 373, 373,
4901
4902 1086, 373, 373, 373, 373, 373, 373, 373, 373, 373,
4903 373, 373, 373, 373, 373, 0, 974, 1023, 967, 967,
4904 967, 967, 0, 972, 972, 972, 972, 0, 0, 974,
4905 373, 1023, 972, 1083, 967, 987, 987, 373, 373, 972,
4906 974, 1023, 967, 0, 988, 988, 988, 988, 979, 979,
4907 979, 979, 974, 373, 1023, 0, 0, 1083, 987, 987,
4908 373, 418, 418, 418, 418, 418, 967, 418, 418, 418,
4909 418, 418, 418, 418, 418, 418, 418, 418, 418, 418,
4910 418, 0, 979, 988, 1111, 896, 896, 896, 896, 897,
4911 897, 897, 897, 912, 912, 912, 912, 916, 916, 916,
4912
4913 916, 0, 0, 418, 418, 979, 988, 896, 1111, 896,
4914 912, 897, 982, 897, 916, 912, 0, 0, 0, 916,
4915 0, 0, 897, 896, 982, 986, 418, 423, 423, 423,
4916 423, 896, 423, 896, 0, 897, 982, 897, 0, 912,
4917 986, 423, 423, 916, 423, 897, 896, 982, 0, 986,
4918 0, 0, 423, 1112, 1013, 1020, 1087, 980, 980, 980,
4919 980, 1013, 423, 986, 0, 899, 899, 899, 899, 423,
4920 423, 933, 933, 933, 933, 1020, 423, 1112, 1013, 1087,
4921 0, 0, 1032, 1032, 1013, 423, 426, 899, 933, 899,
4922 0, 980, 426, 933, 426, 426, 0, 426, 1020, 899,
4923
4924 426, 426, 426, 426, 426, 426, 1032, 1032, 0, 1107,
4925 0, 899, 0, 899, 980, 0, 0, 933, 983, 983,
4926 983, 983, 899, 426, 426, 426, 426, 426, 426, 426,
4927 427, 427, 1107, 427, 427, 427, 427, 427, 427, 427,
4928 427, 427, 427, 427, 427, 0, 427, 427, 427, 427,
4929 427, 0, 983, 1014, 1014, 1001, 1004, 1007, 1007, 1007,
4930 1007, 1031, 0, 1066, 1066, 1031, 934, 934, 934, 934,
4931 1001, 1004, 0, 427, 1007, 983, 1014, 1014, 0, 1001,
4932 1004, 0, 0, 934, 0, 1031, 1066, 1066, 934, 1031,
4933 1119, 0, 0, 1001, 1004, 1007, 427, 430, 430, 430,
4934
4935 430, 430, 430, 430, 430, 430, 430, 430, 430, 430,
4936 430, 430, 934, 1119, 430, 430, 430, 430, 1007, 1070,
4937 1070, 1070, 1070, 1037, 1011, 1011, 1011, 1011, 1070, 1129,
4938 1072, 1072, 1072, 1072, 430, 1070, 0, 430, 1037, 1072,
4939 430, 1011, 1006, 1006, 1006, 1006, 1072, 1037, 1069, 1069,
4940 1069, 1069, 1129, 953, 953, 953, 953, 430, 1006, 1011,
4941 430, 1037, 1006, 430, 433, 433, 953, 433, 433, 433,
4942 433, 433, 433, 433, 433, 433, 433, 433, 433, 953,
4943 1123, 433, 1011, 433, 433, 1130, 1006, 1069, 953, 954,
4944 954, 954, 954, 955, 955, 955, 955, 1029, 1029, 1029,
4945
4946 1029, 0, 954, 953, 1123, 1038, 955, 433, 1130, 0,
4947 1069, 953, 0, 0, 1029, 954, 0, 0, 1038, 955,
4948 959, 959, 959, 959, 954, 1036, 1015, 0, 955, 1038,
4949 433, 436, 436, 436, 436, 1029, 436, 1036, 1015, 954,
4950 1015, 1038, 0, 955, 959, 436, 436, 954, 436, 1036,
4951 1015, 955, 959, 1035, 0, 1050, 436, 1137, 1029, 959,
4952 1036, 1015, 1154, 1015, 1035, 1050, 436, 0, 959, 1073,
4953 1073, 1073, 1073, 436, 436, 959, 0, 1035, 1073, 1050,
4954 436, 1137, 959, 1131, 0, 1073, 1154, 1035, 1050, 436,
4955 443, 443, 443, 443, 443, 443, 443, 443, 443, 443,
4956
4957 443, 443, 443, 443, 443, 0, 1131, 443, 443, 443,
4958 443, 1132, 1751, 1751, 1751, 1751, 0, 1056, 1024, 1024,
4959 1024, 1024, 1074, 0, 1115, 1115, 1056, 956, 956, 956,
4960 956, 1074, 0, 443, 1132, 1024, 1002, 1002, 1002, 1002,
4961 956, 1056, 1039, 1039, 1039, 1039, 1074, 1115, 1115, 1056,
4962 0, 1039, 1002, 956, 1074, 1024, 443, 457, 1002, 0,
4963 457, 457, 956, 457, 457, 457, 457, 457, 457, 457,
4964 457, 457, 457, 457, 457, 457, 457, 956, 1024, 1039,
4965 1057, 1076, 1002, 1045, 1155, 956, 1077, 1159, 0, 1160,
4966 1077, 1076, 1057, 992, 992, 992, 992, 1045, 1045, 457,
4967
4968 457, 0, 1039, 0, 1057, 1076, 992, 1045, 1155, 1077,
4969 992, 1159, 1160, 1077, 1076, 1057, 0, 0, 0, 992,
4970 1045, 1045, 457, 458, 458, 458, 458, 458, 992, 458,
4971 458, 458, 458, 458, 458, 458, 458, 458, 458, 458,
4972 458, 458, 458, 992, 1062, 1003, 1003, 1003, 1003, 1063,
4973 0, 992, 0, 1101, 1101, 1101, 1101, 1172, 458, 1062,
4974 0, 1003, 0, 0, 1063, 458, 458, 1003, 1062, 1101,
4975 0, 0, 0, 1063, 1084, 1084, 1084, 1084, 0, 0,
4976 1172, 458, 1062, 1043, 1043, 1043, 1043, 1063, 458, 459,
4977 0, 1003, 459, 459, 459, 459, 459, 459, 459, 459,
4978
4979 459, 459, 459, 459, 459, 459, 459, 459, 459, 1043,
4980 1075, 0, 1116, 1084, 1116, 1043, 1157, 0, 1043, 1078,
4981 1078, 1078, 1078, 1075, 1186, 1157, 459, 1008, 1008, 1008,
4982 1008, 459, 459, 1043, 1075, 1116, 1084, 1116, 1043, 0,
4983 1157, 1043, 0, 0, 1008, 0, 1075, 1186, 1157, 459,
4984 0, 1008, 0, 1078, 459, 460, 0, 0, 460, 460,
4985 460, 460, 460, 460, 460, 460, 460, 460, 460, 460,
4986 460, 460, 460, 460, 460, 1008, 1078, 0, 1158, 989,
4987 989, 989, 989, 993, 993, 993, 993, 1206, 0, 460,
4988 1158, 0, 1012, 1012, 1012, 1012, 1103, 460, 460, 1103,
4989
4990 1121, 989, 1158, 989, 1080, 993, 1121, 993, 1079, 1012,
4991 1206, 1079, 460, 1158, 1080, 989, 1012, 0, 993, 0,
4992 460, 465, 465, 465, 465, 989, 1081, 989, 1080, 993,
4993 1121, 993, 0, 1106, 465, 1103, 1081, 1080, 989, 0,
4994 1012, 993, 1079, 1118, 1207, 1118, 465, 465, 0, 1106,
4995 1081, 1161, 1030, 1030, 1030, 1030, 465, 1106, 1103, 1081,
4996 0, 1102, 1102, 1102, 1102, 1079, 1118, 1207, 1118, 1030,
4997 465, 465, 1106, 0, 0, 1161, 1030, 1102, 1177, 465,
4998 480, 480, 480, 480, 480, 1185, 480, 480, 480, 480,
4999 480, 480, 480, 480, 480, 480, 480, 480, 480, 480,
5000
5001 1030, 1046, 1177, 1117, 1135, 1046, 0, 1117, 0, 1185,
5002 1064, 1064, 1064, 1064, 1135, 480, 0, 0, 0, 1064,
5003 1046, 0, 480, 480, 0, 1046, 1117, 1202, 1135, 1046,
5004 1117, 1085, 1085, 1085, 1085, 0, 0, 1135, 480, 1139,
5005 1139, 1139, 1139, 1046, 1064, 480, 481, 481, 481, 481,
5006 481, 1202, 481, 481, 481, 481, 481, 481, 481, 481,
5007 481, 481, 481, 481, 481, 481, 1136, 1064, 1170, 0,
5008 1085, 1170, 1210, 1139, 1210, 0, 1068, 1068, 1068, 1068,
5009 481, 1136, 481, 1122, 1122, 1122, 1122, 0, 481, 481,
5010 1136, 1170, 1068, 1085, 1170, 1210, 1139, 1210, 1068, 1140,
5011
5012 1122, 0, 0, 481, 1136, 481, 995, 995, 995, 995,
5013 1140, 481, 510, 510, 510, 510, 510, 510, 510, 510,
5014 510, 510, 1068, 1140, 510, 510, 510, 1214, 995, 510,
5015 995, 510, 510, 1140, 995, 0, 1223, 994, 994, 994,
5016 994, 996, 996, 996, 996, 0, 0, 1110, 1110, 1110,
5017 1110, 1214, 995, 1221, 995, 510, 0, 0, 995, 994,
5018 1223, 994, 994, 996, 1110, 996, 1138, 1138, 1138, 1138,
5019 1110, 1124, 1124, 1124, 1124, 1138, 1221, 0, 510, 538,
5020 538, 538, 538, 994, 538, 994, 994, 996, 1124, 996,
5021 538, 538, 538, 538, 1110, 0, 538, 538, 539, 539,
5022
5023 539, 539, 0, 539, 0, 997, 997, 997, 997, 539,
5024 539, 539, 539, 1222, 1229, 539, 539, 1126, 1126, 1126,
5025 1126, 1156, 0, 1108, 1108, 1108, 1108, 997, 1228, 997,
5026 0, 1156, 0, 0, 1126, 0, 1222, 539, 1229, 1108,
5027 1228, 0, 0, 997, 0, 1156, 1104, 1104, 1104, 1104,
5028 0, 997, 1228, 997, 1156, 1090, 1090, 1090, 1090, 1108,
5029 539, 541, 1104, 1228, 541, 541, 997, 541, 541, 541,
5030 541, 541, 541, 541, 541, 541, 541, 541, 541, 541,
5031 541, 1090, 1108, 1240, 1104, 1127, 1127, 1127, 1127, 1245,
5032 1090, 1239, 1144, 1144, 1144, 1144, 1243, 1109, 1109, 1109,
5033
5034 1109, 1144, 1127, 541, 541, 1090, 1240, 1104, 1544, 1544,
5035 1544, 1544, 1245, 1090, 1109, 1239, 1047, 1047, 1047, 1047,
5036 1243, 0, 0, 0, 1544, 1109, 541, 549, 549, 1047,
5037 549, 549, 549, 549, 549, 549, 549, 549, 549, 549,
5038 549, 549, 1047, 1141, 549, 549, 549, 549, 1227, 1109,
5039 1246, 1047, 549, 1146, 1142, 1162, 0, 1227, 1141, 1146,
5040 1254, 1105, 1105, 1105, 1105, 1254, 1047, 1141, 1142, 1162,
5041 549, 0, 1227, 1246, 1047, 0, 549, 1105, 1142, 1162,
5042 1227, 1141, 0, 1254, 0, 1105, 0, 1146, 1254, 0,
5043 0, 1142, 1162, 549, 550, 550, 0, 550, 550, 550,
5044
5045 550, 550, 550, 550, 550, 550, 550, 550, 550, 1105,
5046 1146, 550, 550, 550, 550, 1255, 1171, 0, 0, 999,
5047 999, 999, 999, 1100, 1100, 1100, 1100, 1171, 550, 1134,
5048 1134, 1134, 1134, 1163, 1163, 1163, 1163, 550, 0, 1255,
5049 1171, 999, 1163, 999, 1280, 1100, 1134, 1100, 0, 0,
5050 1171, 550, 1134, 1216, 1216, 1216, 1216, 0, 0, 0,
5051 550, 560, 560, 560, 560, 999, 560, 999, 1280, 1100,
5052 1216, 1100, 560, 560, 560, 560, 1134, 0, 560, 560,
5053 561, 561, 561, 561, 0, 561, 1151, 998, 998, 998,
5054 998, 561, 561, 561, 561, 0, 1182, 561, 561, 0,
5055
5056 1151, 1151, 1165, 1165, 1242, 0, 0, 1182, 1258, 998,
5057 1151, 998, 1133, 1133, 1133, 1133, 1242, 0, 1165, 561,
5058 1182, 1212, 1212, 1151, 1151, 998, 1165, 1165, 1242, 1133,
5059 1182, 1258, 0, 998, 0, 998, 0, 0, 0, 1242,
5060 1133, 1165, 561, 563, 1212, 1212, 563, 563, 998, 563,
5061 563, 563, 563, 563, 563, 563, 563, 563, 563, 563,
5062 563, 563, 563, 1231, 1133, 1231, 1048, 1048, 1048, 1048,
5063 0, 1113, 1113, 1113, 1113, 1173, 1173, 1173, 1173, 1048,
5064 1051, 1051, 1051, 1051, 1266, 563, 563, 1231, 1113, 1231,
5065 0, 1173, 1048, 1051, 1143, 1143, 1143, 1143, 0, 1181,
5066
5067 0, 1048, 1181, 1143, 0, 1113, 1051, 1266, 563, 572,
5068 572, 572, 572, 1173, 572, 1051, 1048, 1253, 572, 572,
5069 572, 572, 572, 572, 1048, 572, 0, 572, 1113, 0,
5070 1051, 1143, 1253, 1181, 1290, 1241, 1173, 0, 1051, 0,
5071 0, 1253, 1211, 0, 1241, 572, 0, 0, 0, 1145,
5072 1145, 1145, 1145, 1211, 1143, 1253, 1181, 1290, 1145, 1241,
5073 1286, 1147, 1147, 1147, 1147, 0, 1211, 1241, 572, 573,
5074 573, 573, 573, 0, 573, 0, 1211, 0, 573, 573,
5075 573, 573, 573, 573, 1286, 573, 1145, 573, 576, 576,
5076 576, 576, 576, 1147, 576, 576, 576, 576, 576, 576,
5077
5078 576, 576, 576, 576, 576, 576, 576, 576, 1302, 1145,
5079 1307, 1219, 1219, 1219, 1219, 0, 1147, 1174, 1174, 1174,
5080 1174, 0, 0, 576, 1052, 1052, 1052, 1052, 1219, 0,
5081 576, 576, 1302, 1174, 1307, 0, 0, 1052, 1230, 1230,
5082 1230, 1230, 1168, 1168, 1168, 1168, 576, 1230, 0, 0,
5083 1052, 1168, 1174, 576, 577, 577, 577, 577, 577, 1052,
5084 577, 577, 577, 577, 577, 577, 577, 577, 577, 577,
5085 577, 577, 577, 577, 1052, 1174, 1168, 1247, 1262, 1262,
5086 0, 1291, 1052, 1205, 1205, 1205, 1205, 0, 577, 1247,
5087 577, 1780, 1780, 1780, 1780, 0, 577, 577, 0, 1168,
5088
5089 1205, 1247, 1262, 1262, 1291, 0, 0, 1233, 1233, 1233,
5090 1233, 577, 1247, 577, 1179, 1179, 1179, 1179, 1150, 577,
5091 578, 1150, 1205, 578, 578, 578, 578, 578, 578, 578,
5092 578, 578, 578, 578, 578, 578, 578, 578, 578, 578,
5093 1150, 0, 1150, 0, 1233, 1205, 1244, 0, 1179, 1175,
5094 1175, 1175, 1175, 1309, 0, 1329, 1330, 1150, 578, 0,
5095 1244, 0, 578, 578, 1150, 1175, 1150, 1233, 0, 0,
5096 1244, 1179, 1677, 1677, 1677, 1677, 0, 1309, 1329, 1330,
5097 1150, 578, 1335, 1244, 1175, 578, 579, 0, 1677, 579,
5098 579, 579, 579, 579, 579, 579, 579, 579, 579, 579,
5099
5100 579, 579, 579, 579, 579, 579, 1335, 1175, 579, 1053,
5101 1053, 1053, 1053, 1054, 1054, 1054, 1054, 1265, 1095, 1095,
5102 1095, 1095, 1053, 1265, 1169, 1347, 1054, 1336, 579, 579,
5103 1169, 0, 579, 1351, 1361, 1053, 0, 0, 1265, 1054,
5104 1095, 0, 1095, 0, 1053, 1169, 1095, 0, 1054, 1347,
5105 1336, 579, 586, 586, 586, 586, 1362, 1351, 1361, 1053,
5106 1169, 1364, 1265, 1054, 1095, 586, 1095, 1053, 0, 1169,
5107 1095, 1054, 1059, 1059, 1059, 1059, 586, 1370, 586, 1362,
5108 1375, 1059, 1295, 1169, 1364, 1059, 1395, 586, 0, 0,
5109 1060, 1060, 1060, 1060, 1295, 0, 0, 0, 1059, 1060,
5110
5111 586, 1370, 586, 1060, 1375, 0, 1295, 1059, 0, 1395,
5112 586, 587, 587, 587, 587, 1416, 1060, 1295, 1180, 1180,
5113 1180, 1180, 1059, 1394, 587, 1060, 1178, 1178, 1178, 1178,
5114 1059, 1094, 1094, 1094, 1094, 1178, 1382, 587, 1416, 1382,
5115 1060, 1088, 1088, 1088, 1088, 587, 587, 1394, 1060, 0,
5116 1178, 0, 1180, 1094, 1088, 1094, 1415, 1427, 1088, 1382,
5117 0, 587, 1382, 1424, 0, 1094, 1442, 1088, 587, 587,
5118 588, 588, 588, 588, 1178, 1180, 1088, 1094, 0, 1094,
5119 1415, 1427, 1451, 588, 1408, 1383, 1424, 1408, 1094, 1383,
5120 1442, 1088, 1208, 1208, 1208, 1208, 588, 0, 0, 1088,
5121
5122 1089, 1089, 1089, 1089, 588, 588, 1451, 1408, 1383, 1208,
5123 1408, 1452, 1383, 1089, 0, 0, 1464, 1089, 0, 0,
5124 588, 1096, 1096, 1096, 1096, 1208, 1089, 588, 588, 589,
5125 589, 589, 589, 1472, 1452, 1089, 1091, 1091, 1091, 1091,
5126 1464, 1296, 589, 1096, 1502, 1096, 0, 1487, 1208, 1091,
5127 1089, 1459, 1296, 1091, 1459, 589, 1472, 589, 1089, 1096,
5128 1366, 1533, 1091, 0, 589, 1296, 0, 1096, 1502, 1096,
5129 1487, 1091, 1366, 1493, 1459, 1296, 0, 1459, 0, 589,
5130 1505, 589, 1096, 1547, 1366, 1533, 1091, 589, 595, 595,
5131 595, 595, 1557, 595, 1091, 1366, 1493, 595, 595, 595,
5132
5133 595, 595, 595, 1505, 595, 1559, 595, 1547, 1097, 1097,
5134 1097, 1097, 1176, 1176, 1176, 1176, 1557, 1200, 1200, 1200,
5135 1200, 0, 1149, 1149, 1149, 1149, 595, 0, 1176, 1559,
5136 1097, 0, 1097, 1200, 1236, 1149, 0, 1236, 0, 1152,
5137 1152, 1152, 1152, 0, 1097, 1200, 0, 1176, 1149, 595,
5138 596, 596, 596, 596, 1097, 596, 1097, 1149, 1236, 596,
5139 596, 596, 596, 596, 596, 1152, 596, 1097, 596, 1200,
5140 1176, 1534, 1149, 1236, 1152, 1554, 596, 0, 1564, 1595,
5141 1149, 0, 1236, 1201, 1201, 1201, 1201, 0, 0, 1152,
5142 1267, 1267, 1267, 1267, 1534, 0, 1236, 1152, 1554, 1201,
5143
5144 596, 606, 1564, 1595, 606, 606, 1201, 606, 606, 606,
5145 606, 606, 606, 606, 606, 606, 606, 606, 606, 606,
5146 606, 0, 1267, 1596, 1601, 1226, 1226, 1226, 1226, 0,
5147 1201, 1204, 1204, 1204, 1204, 1430, 1602, 1430, 606, 1530,
5148 0, 0, 1226, 606, 606, 1267, 1596, 1601, 1204, 1530,
5149 0, 0, 1209, 1209, 1209, 1209, 0, 0, 1430, 1602,
5150 1430, 606, 0, 1530, 1226, 1204, 606, 607, 0, 1209,
5151 607, 607, 1530, 607, 607, 607, 607, 607, 607, 607,
5152 607, 607, 607, 607, 607, 607, 607, 1226, 1204, 1209,
5153 1525, 1098, 1098, 1098, 1098, 1099, 1099, 1099, 1099, 1615,
5154
5155 0, 607, 0, 1114, 1114, 1114, 1114, 0, 1525, 607,
5156 607, 0, 1209, 1098, 1525, 1098, 0, 1099, 0, 1099,
5157 1114, 1469, 1615, 1098, 607, 1465, 1114, 1469, 1465, 0,
5158 1099, 1525, 607, 620, 620, 620, 620, 1098, 1469, 1098,
5159 1114, 1099, 620, 1099, 0, 0, 1098, 0, 0, 1465,
5160 1114, 0, 1465, 1099, 1599, 1613, 0, 1614, 620, 0,
5161 0, 620, 1469, 1114, 1287, 1287, 1287, 1287, 620, 1225,
5162 1225, 1225, 1225, 0, 1460, 1460, 1460, 1460, 1599, 1613,
5163 1287, 1614, 620, 1460, 1287, 620, 1225, 1153, 1153, 1153,
5164 1153, 620, 638, 638, 638, 638, 638, 638, 638, 638,
5165
5166 638, 638, 0, 1225, 638, 638, 638, 0, 1287, 638,
5167 1238, 638, 638, 1153, 1238, 1531, 1304, 1304, 1304, 1304,
5168 1594, 0, 1153, 1620, 1531, 1238, 1225, 1658, 1594, 1192,
5169 1192, 1192, 1192, 1304, 1238, 638, 0, 1153, 1238, 1531,
5170 1249, 1249, 1249, 1249, 1594, 1153, 1620, 1531, 1238, 1249,
5171 1658, 1192, 1594, 1192, 1750, 1750, 1750, 1750, 638, 639,
5172 639, 639, 639, 639, 639, 639, 639, 639, 639, 1659,
5173 1192, 639, 639, 639, 1249, 1192, 639, 1192, 639, 639,
5174 1611, 1297, 1297, 1297, 1297, 1625, 1166, 1166, 1166, 1166,
5175 1611, 1665, 1659, 1192, 0, 1166, 1750, 1249, 1297, 1166,
5176
5177 0, 0, 639, 0, 1611, 1224, 1224, 1224, 1224, 1625,
5178 1224, 0, 1166, 1611, 1665, 1232, 1232, 1232, 1232, 1224,
5179 1224, 1166, 1224, 1297, 1232, 639, 640, 640, 640, 640,
5180 640, 640, 640, 640, 640, 640, 1166, 1232, 640, 640,
5181 640, 1682, 0, 640, 1166, 640, 640, 1224, 1224, 640,
5182 0, 640, 0, 640, 1196, 1196, 1196, 1196, 0, 1628,
5183 1237, 1232, 640, 1237, 1682, 1537, 1537, 1537, 1537, 640,
5184 0, 0, 0, 640, 1537, 640, 1196, 640, 1196, 1263,
5185 1263, 1263, 1263, 1628, 1237, 640, 1196, 0, 1263, 0,
5186 0, 0, 640, 674, 674, 674, 674, 0, 674, 1237,
5187
5188 1196, 0, 1196, 1263, 674, 674, 674, 674, 1237, 1196,
5189 674, 674, 675, 675, 675, 675, 0, 675, 1203, 1203,
5190 1203, 1203, 1237, 675, 675, 675, 675, 1263, 1704, 675,
5191 675, 1712, 0, 0, 1203, 1565, 1565, 1565, 1565, 1167,
5192 1167, 1167, 1167, 1717, 1565, 675, 1203, 1592, 1167, 0,
5193 1592, 1704, 1167, 0, 1712, 1257, 1257, 1257, 1257, 0,
5194 0, 1324, 1324, 1324, 1324, 1167, 1717, 1592, 675, 678,
5195 1203, 1257, 678, 678, 1167, 678, 678, 678, 678, 678,
5196 678, 678, 678, 678, 678, 678, 678, 678, 678, 1167,
5197 1257, 1592, 1305, 1305, 1305, 1305, 1324, 1167, 0, 0,
5198
5199 0, 1259, 1259, 1259, 1259, 1250, 1250, 1250, 1250, 1305,
5200 1734, 678, 678, 1257, 1250, 0, 0, 1259, 1250, 1324,
5201 0, 1261, 1261, 1261, 1261, 1413, 1413, 1413, 1413, 0,
5202 0, 1250, 1630, 1734, 678, 679, 1259, 1261, 679, 679,
5203 1250, 679, 679, 679, 679, 679, 679, 679, 679, 679,
5204 679, 679, 679, 679, 679, 1250, 1630, 1261, 1252, 1259,
5205 1413, 1660, 1664, 1250, 1252, 0, 1691, 1694, 1256, 1256,
5206 1256, 1256, 1306, 1306, 1306, 1306, 0, 679, 679, 1252,
5207 1261, 0, 1543, 1413, 1256, 1660, 1664, 0, 1543, 1306,
5208 1691, 1694, 1256, 0, 1252, 1352, 1352, 1352, 1352, 1543,
5209
5210 679, 691, 691, 1252, 691, 691, 691, 691, 691, 691,
5211 691, 691, 691, 691, 691, 691, 1256, 1252, 691, 691,
5212 691, 691, 1703, 1543, 691, 0, 1603, 1352, 1603, 1532,
5213 0, 1260, 1260, 1260, 1260, 1378, 1378, 1378, 1378, 0,
5214 0, 1532, 1746, 0, 691, 0, 1703, 1260, 691, 1603,
5215 1352, 1603, 1378, 1532, 1367, 1367, 1367, 1367, 0, 1248,
5216 1248, 1248, 1248, 1367, 1532, 1746, 1260, 691, 692, 692,
5217 0, 692, 692, 692, 692, 692, 692, 692, 692, 692,
5218 692, 692, 692, 1248, 1715, 692, 692, 692, 692, 1260,
5219 1367, 1248, 0, 1191, 1191, 1191, 1191, 692, 1598, 1385,
5220
5221 1385, 1385, 1385, 1264, 1264, 1264, 1264, 1248, 1715, 0,
5222 1598, 692, 1264, 1367, 1248, 1191, 1385, 1191, 1741, 1191,
5223 1741, 692, 1598, 1623, 1623, 1623, 1623, 1264, 1308, 1308,
5224 1308, 1308, 1623, 1598, 692, 702, 702, 702, 702, 1191,
5225 702, 1191, 1741, 1191, 1741, 1308, 702, 702, 702, 702,
5226 1743, 1264, 702, 702, 703, 703, 703, 703, 0, 703,
5227 1193, 1193, 1193, 1193, 0, 703, 703, 703, 703, 1748,
5228 1308, 703, 703, 1777, 1743, 1284, 1284, 1284, 1284, 0,
5229 0, 1765, 1193, 0, 1193, 0, 0, 703, 1193, 0,
5230 0, 1284, 0, 1748, 0, 0, 1777, 1285, 1285, 1285,
5231
5232 1285, 1363, 1363, 1363, 1363, 1765, 1193, 1597, 1193, 1284,
5233 703, 705, 1193, 1285, 705, 705, 1597, 705, 705, 705,
5234 705, 705, 705, 705, 705, 705, 705, 705, 705, 705,
5235 705, 1597, 1284, 1363, 1797, 0, 1285, 1363, 1807, 1597,
5236 0, 1288, 1288, 1288, 1288, 1666, 1767, 1772, 1778, 1396,
5237 1396, 1396, 1396, 705, 705, 1666, 1363, 1797, 1288, 1285,
5238 1363, 1807, 1289, 1289, 1289, 1289, 1396, 0, 0, 1666,
5239 1767, 1772, 1778, 0, 1288, 0, 705, 706, 1666, 1289,
5240 706, 706, 0, 706, 706, 706, 706, 706, 706, 706,
5241 706, 706, 706, 706, 706, 706, 706, 1288, 1289, 1310,
5242
5243 1310, 1310, 1310, 1197, 1197, 1197, 1197, 1314, 1314, 1314,
5244 1314, 1404, 1404, 1404, 1404, 0, 1310, 0, 0, 706,
5245 706, 1289, 0, 1197, 1314, 1197, 0, 1197, 1404, 0,
5246 1341, 1341, 1341, 1341, 0, 0, 1198, 1198, 1198, 1198,
5247 1314, 1310, 706, 717, 717, 717, 717, 1197, 717, 1197,
5248 1341, 1197, 717, 717, 717, 717, 717, 717, 1198, 717,
5249 1198, 717, 1341, 1314, 1796, 1199, 1199, 1199, 1199, 1808,
5250 1198, 717, 0, 0, 1341, 0, 0, 1376, 1376, 1376,
5251 1376, 1833, 1198, 0, 1198, 1341, 0, 1199, 1796, 1199,
5252 0, 1803, 1808, 1198, 1376, 717, 718, 718, 718, 718,
5253
5254 1376, 718, 1199, 0, 1833, 718, 718, 718, 718, 718,
5255 718, 1199, 718, 1199, 718, 1803, 1315, 1315, 1315, 1315,
5256 1781, 1781, 1781, 1781, 1376, 1199, 1292, 1292, 1292, 1292,
5257 718, 0, 0, 1315, 1377, 1377, 1377, 1377, 1438, 1438,
5258 1438, 1438, 0, 1292, 0, 1425, 1425, 1425, 1425, 0,
5259 1292, 1377, 1315, 718, 720, 1438, 0, 720, 720, 0,
5260 720, 720, 720, 720, 720, 720, 720, 720, 720, 720,
5261 720, 720, 720, 720, 1292, 1315, 1377, 1425, 1348, 1348,
5262 1348, 1348, 1439, 1439, 1439, 1439, 0, 1349, 1349, 1349,
5263 1349, 720, 0, 0, 1348, 0, 720, 720, 1439, 1439,
5264
5265 1425, 1348, 0, 1349, 0, 1403, 1403, 1403, 1403, 1507,
5266 1507, 1507, 1507, 0, 720, 1332, 1332, 1332, 1332, 720,
5267 721, 1349, 1403, 721, 721, 1348, 721, 721, 721, 721,
5268 721, 721, 721, 721, 721, 721, 721, 721, 721, 721,
5269 1612, 1332, 0, 1507, 1349, 0, 0, 1403, 0, 1672,
5270 1332, 1672, 1612, 1332, 721, 1368, 1368, 1368, 1368, 0,
5271 0, 0, 721, 721, 1612, 1332, 1507, 1477, 1477, 1477,
5272 1477, 1368, 1672, 1332, 1672, 1612, 1332, 721, 0, 0,
5273 1333, 1333, 1333, 1333, 1477, 721, 722, 0, 1368, 722,
5274 722, 722, 722, 722, 722, 722, 722, 722, 722, 722,
5275
5276 722, 722, 722, 722, 722, 722, 1333, 1434, 1434, 1434,
5277 1434, 1368, 1681, 1670, 0, 1333, 1333, 1470, 1470, 1470,
5278 1470, 722, 1681, 1434, 1545, 1545, 1545, 1545, 722, 722,
5279 1333, 1670, 0, 1470, 1470, 0, 1681, 1670, 1333, 1333,
5280 1545, 1545, 0, 0, 722, 1681, 0, 0, 0, 1434,
5281 0, 722, 723, 0, 1670, 723, 723, 723, 723, 723,
5282 723, 723, 723, 723, 723, 723, 723, 723, 723, 723,
5283 723, 723, 1251, 1251, 1251, 1251, 723, 1326, 1326, 1326,
5284 1326, 1251, 1680, 0, 1593, 1251, 1326, 1593, 1268, 1268,
5285 1268, 1268, 1836, 1680, 723, 723, 0, 0, 1251, 0,
5286
5287 723, 1268, 1742, 1326, 1593, 1268, 1680, 1251, 1374, 1374,
5288 1374, 1374, 1326, 1742, 1268, 1836, 1680, 723, 726, 726,
5289 726, 726, 1251, 1268, 726, 1374, 1742, 1326, 1593, 726,
5290 1251, 726, 1837, 1374, 0, 1326, 1742, 726, 1268, 1269,
5291 1269, 1269, 1269, 1374, 726, 0, 1268, 0, 1276, 1276,
5292 1276, 1276, 1269, 726, 0, 1837, 1269, 1374, 1270, 1270,
5293 1270, 1270, 0, 0, 0, 1269, 1374, 0, 726, 0,
5294 1276, 1270, 1276, 0, 1269, 1270, 726, 727, 727, 727,
5295 727, 0, 0, 0, 1270, 1474, 1474, 1474, 1474, 1269,
5296 727, 1707, 0, 1270, 1276, 1707, 1276, 1269, 1279, 1279,
5297
5298 1279, 1279, 1474, 727, 1277, 1277, 1277, 1277, 1270, 0,
5299 727, 0, 727, 0, 0, 1707, 1270, 1711, 0, 1707,
5300 1279, 1711, 1279, 0, 1474, 0, 1277, 727, 1277, 1281,
5301 1281, 1281, 1281, 727, 0, 727, 728, 728, 728, 728,
5302 0, 1711, 0, 1277, 1279, 1711, 1279, 1474, 0, 728,
5303 1277, 1281, 1277, 1281, 1283, 1283, 1283, 1283, 1371, 1371,
5304 1371, 1371, 728, 0, 1281, 0, 1277, 1570, 1570, 1570,
5305 1570, 728, 728, 0, 1371, 1281, 1283, 1281, 1283, 1371,
5306 1325, 1325, 1325, 1325, 0, 0, 728, 1281, 0, 1325,
5307 0, 1283, 0, 1325, 728, 728, 729, 729, 729, 729,
5308
5309 1283, 1570, 1283, 1371, 0, 0, 1325, 0, 0, 729,
5310 1327, 1327, 1327, 1327, 1283, 1325, 1331, 1331, 1331, 1331,
5311 0, 0, 729, 1327, 1570, 1369, 1369, 1369, 1369, 729,
5312 1325, 729, 729, 0, 0, 0, 1327, 0, 1325, 1736,
5313 1331, 1369, 1331, 1736, 0, 1327, 729, 1494, 1494, 1494,
5314 1494, 1331, 729, 0, 729, 729, 731, 731, 731, 731,
5315 1327, 1369, 0, 1736, 1331, 0, 1331, 1736, 1327, 731,
5316 1435, 1435, 1435, 1435, 1331, 1334, 1334, 1334, 1334, 1494,
5317 0, 0, 731, 0, 1369, 1769, 1435, 1373, 1373, 1373,
5318 1373, 731, 1342, 1342, 1342, 1342, 1769, 731, 0, 0,
5319
5320 0, 1334, 1494, 0, 1373, 1342, 731, 1334, 0, 1769,
5321 1334, 1373, 1435, 1702, 731, 0, 0, 0, 1342, 1769,
5322 731, 733, 733, 733, 733, 1334, 1702, 1342, 1716, 0,
5323 1334, 1716, 0, 1334, 733, 1373, 0, 1702, 1475, 1475,
5324 1475, 1475, 1342, 1486, 1486, 1486, 1486, 733, 0, 1702,
5325 1342, 1716, 0, 733, 1716, 1475, 733, 0, 0, 0,
5326 1343, 1343, 1343, 1343, 1486, 0, 0, 1539, 1539, 1539,
5327 1539, 733, 0, 1343, 1475, 0, 733, 0, 0, 733,
5328 739, 739, 739, 739, 0, 739, 1343, 1539, 1486, 739,
5329 739, 739, 739, 739, 739, 1343, 739, 1475, 739, 0,
5330
5331 1344, 1344, 1344, 1344, 739, 0, 1436, 1436, 1436, 1436,
5332 1343, 1539, 0, 1344, 0, 1436, 1436, 0, 1343, 0,
5333 1436, 0, 1436, 0, 0, 1662, 1344, 0, 739, 740,
5334 740, 740, 740, 0, 740, 1344, 0, 1662, 740, 740,
5335 740, 740, 740, 740, 0, 740, 0, 740, 1436, 1662,
5336 1344, 0, 0, 1463, 1463, 1463, 1463, 0, 1344, 0,
5337 1662, 1719, 1463, 0, 1719, 0, 0, 0, 740, 1402,
5338 1402, 1402, 1402, 1463, 1468, 1468, 1468, 1468, 0, 1365,
5339 1365, 1365, 1365, 1468, 1719, 0, 1402, 1719, 1365, 0,
5340 0, 740, 747, 1402, 1468, 747, 747, 1463, 747, 747,
5341
5342 747, 747, 747, 747, 747, 747, 747, 747, 747, 747,
5343 747, 747, 0, 1365, 0, 1365, 0, 1402, 1468, 0,
5344 1584, 1584, 1584, 1584, 1684, 1684, 1684, 1684, 0, 0,
5345 747, 0, 0, 1684, 747, 747, 1365, 1584, 1365, 1471,
5346 1471, 1471, 1471, 1489, 1489, 1489, 1489, 1784, 1784, 1784,
5347 1784, 0, 0, 747, 0, 1471, 1471, 747, 748, 0,
5348 1489, 748, 748, 1471, 748, 748, 748, 748, 748, 748,
5349 748, 748, 748, 748, 748, 748, 748, 748, 0, 1489,
5350 748, 1479, 1479, 1479, 1479, 0, 0, 1471, 0, 1440,
5351 1440, 1440, 1440, 1546, 1546, 1546, 1546, 0, 1479, 0,
5352
5353 748, 748, 1489, 0, 748, 1440, 1440, 0, 0, 1546,
5354 1546, 1440, 1818, 1818, 1818, 1818, 1479, 0, 1714, 1417,
5355 1417, 1417, 1417, 748, 773, 773, 773, 773, 773, 773,
5356 773, 773, 773, 773, 1714, 1440, 773, 773, 773, 1479,
5357 0, 773, 1714, 773, 773, 1417, 1705, 773, 1740, 773,
5358 0, 773, 1740, 0, 1417, 1417, 0, 1714, 1705, 0,
5359 773, 0, 1488, 1488, 1488, 1488, 0, 773, 0, 1417,
5360 1705, 773, 1740, 773, 0, 773, 1740, 1417, 1417, 1488,
5361 0, 1705, 0, 773, 0, 0, 1418, 1418, 1418, 1418,
5362 773, 775, 775, 775, 775, 775, 775, 775, 775, 775,
5363
5364 775, 1488, 0, 775, 775, 775, 0, 0, 775, 0,
5365 775, 775, 1418, 0, 1473, 1473, 1473, 1473, 1418, 0,
5366 0, 1418, 0, 0, 1488, 0, 1518, 1518, 1518, 1518,
5367 1473, 1473, 0, 0, 775, 0, 1418, 1503, 1503, 1503,
5368 1503, 1418, 1518, 1518, 1418, 1473, 1571, 1571, 1571, 1571,
5369 1518, 1845, 1845, 1845, 1845, 0, 0, 775, 776, 776,
5370 776, 776, 776, 776, 776, 776, 776, 776, 1473, 1503,
5371 776, 776, 776, 0, 1518, 776, 1600, 776, 776, 0,
5372 1571, 776, 1768, 776, 1768, 776, 1419, 1419, 1419, 1419,
5373 1600, 0, 1503, 0, 776, 0, 1501, 1501, 1501, 1501,
5374
5375 1600, 776, 0, 1571, 0, 776, 1768, 776, 1768, 776,
5376 0, 0, 1419, 1600, 1419, 0, 0, 776, 0, 0,
5377 0, 1419, 1501, 0, 776, 781, 781, 781, 781, 0,
5378 0, 1501, 1510, 1510, 1510, 1510, 1419, 0, 1419, 0,
5379 1420, 1420, 1420, 1420, 1419, 0, 1501, 781, 1510, 781,
5380 1642, 1642, 1642, 1642, 1501, 1575, 1575, 1575, 1575, 781,
5381 781, 1511, 1511, 1511, 1511, 0, 1420, 1642, 0, 0,
5382 0, 781, 1420, 781, 1510, 1420, 0, 1511, 1421, 1421,
5383 1421, 1421, 781, 781, 782, 782, 782, 782, 0, 1575,
5384 1420, 0, 0, 1492, 0, 1420, 1492, 0, 1420, 1422,
5385
5386 1422, 1422, 1422, 1511, 1421, 0, 782, 0, 782, 0,
5387 1421, 0, 1575, 1421, 0, 1492, 0, 782, 0, 782,
5388 0, 1521, 1521, 1521, 1521, 1422, 0, 1492, 1421, 1492,
5389 782, 1422, 782, 1421, 1422, 0, 1421, 0, 0, 1492,
5390 782, 0, 782, 784, 784, 784, 784, 0, 0, 1422,
5391 1492, 0, 1492, 1521, 1422, 0, 1657, 1422, 0, 0,
5392 0, 1696, 1696, 1696, 1696, 784, 0, 784, 0, 0,
5393 1657, 0, 1423, 1423, 1423, 1423, 1521, 784, 784, 784,
5394 1657, 0, 1428, 1428, 1428, 1428, 1696, 0, 0, 784,
5395 0, 784, 1423, 1657, 0, 1428, 0, 0, 1423, 0,
5396
5397 784, 784, 784, 786, 786, 786, 786, 1423, 1428, 0,
5398 1696, 0, 1513, 1513, 1513, 1513, 1423, 1428, 1515, 1515,
5399 1515, 1515, 1423, 1429, 1429, 1429, 1429, 1661, 786, 1513,
5400 1423, 786, 1428, 1513, 1515, 1515, 1429, 0, 786, 1515,
5401 1428, 1661, 786, 0, 0, 1643, 1643, 1643, 1643, 1429,
5402 0, 1661, 786, 0, 1513, 786, 0, 1513, 1429, 0,
5403 1515, 786, 1643, 1515, 1661, 786, 803, 803, 803, 803,
5404 0, 803, 0, 1429, 0, 0, 0, 803, 803, 803,
5405 803, 1429, 0, 803, 803, 0, 0, 0, 803, 1509,
5406 1509, 1509, 1509, 0, 1431, 1431, 1431, 1431, 1509, 1509,
5407
5408 0, 1556, 1556, 1556, 1556, 1509, 0, 1431, 1432, 1432,
5409 1432, 1432, 803, 804, 804, 804, 804, 0, 804, 1524,
5410 1431, 1432, 1524, 1509, 804, 804, 804, 804, 0, 1431,
5411 804, 804, 0, 0, 1432, 0, 1556, 1556, 0, 1524,
5412 0, 1524, 0, 1432, 1431, 0, 1509, 1647, 1647, 1647,
5413 1647, 804, 1431, 1721, 1721, 1721, 1721, 0, 1432, 1556,
5414 1556, 0, 1721, 1524, 1647, 1524, 1432, 0, 0, 1445,
5415 1445, 1445, 1445, 0, 804, 806, 806, 806, 806, 806,
5416 0, 806, 806, 806, 806, 806, 806, 806, 806, 806,
5417 806, 806, 806, 806, 806, 1445, 1773, 1773, 1773, 1773,
5418
5419 0, 1445, 0, 0, 1445, 1773, 1805, 1805, 1805, 1805,
5420 0, 1495, 1495, 1495, 1495, 1805, 0, 806, 806, 1445,
5421 1512, 1512, 1512, 1512, 1445, 0, 0, 1445, 0, 1512,
5422 1512, 0, 0, 0, 1512, 0, 1512, 1495, 0, 1495,
5423 806, 807, 807, 807, 807, 807, 1495, 807, 807, 807,
5424 807, 807, 807, 807, 807, 807, 807, 807, 807, 807,
5425 807, 1495, 1512, 1495, 1587, 1587, 1587, 1587, 0, 1495,
5426 0, 0, 0, 1587, 1587, 1609, 1609, 1609, 1609, 0,
5427 1587, 1587, 0, 807, 807, 1731, 1731, 1731, 1731, 0,
5428 0, 1609, 1609, 0, 1731, 0, 1453, 1453, 1453, 1453,
5429
5430 0, 1731, 1496, 1496, 1496, 1496, 807, 816, 816, 1453,
5431 816, 816, 816, 816, 816, 816, 816, 816, 816, 816,
5432 816, 816, 1453, 0, 816, 816, 816, 816, 1496, 1675,
5433 0, 1453, 0, 0, 1496, 0, 0, 1496, 1581, 1581,
5434 1581, 1581, 816, 1675, 0, 1675, 1453, 0, 0, 0,
5435 816, 0, 1496, 1675, 1453, 0, 0, 1496, 0, 0,
5436 1496, 1829, 1829, 1829, 1829, 816, 1675, 0, 1675, 0,
5437 1829, 0, 1581, 816, 817, 817, 0, 817, 817, 817,
5438 817, 817, 817, 817, 817, 817, 817, 817, 817, 0,
5439 0, 817, 817, 817, 817, 1581, 0, 0, 1454, 1454,
5440
5441 1454, 1454, 1558, 1558, 1558, 1558, 0, 1568, 1568, 1568,
5442 1568, 1454, 0, 817, 0, 0, 1568, 817, 1698, 1698,
5443 1698, 1698, 0, 0, 1454, 1455, 1455, 1455, 1455, 1569,
5444 1569, 1569, 1569, 1454, 1558, 1698, 817, 0, 1455, 1568,
5445 817, 824, 824, 824, 824, 0, 824, 0, 1454, 0,
5446 0, 1455, 824, 824, 824, 824, 1454, 1558, 824, 824,
5447 1455, 1569, 1568, 824, 1506, 1506, 1506, 1506, 1456, 1456,
5448 1456, 1456, 0, 1506, 0, 1455, 1758, 1758, 1758, 1758,
5449 0, 1456, 0, 1455, 1569, 1758, 1758, 824, 825, 825,
5450 825, 825, 1758, 825, 1456, 0, 1506, 0, 1506, 825,
5451
5452 825, 825, 825, 1456, 0, 825, 825, 0, 0, 0,
5453 825, 1648, 1648, 1648, 1648, 0, 0, 0, 1456, 1506,
5454 0, 1506, 1855, 1855, 1855, 1855, 1456, 1648, 1648, 1526,
5455 1526, 1526, 1526, 0, 825, 826, 826, 826, 826, 826,
5456 0, 826, 826, 826, 826, 826, 826, 826, 826, 826,
5457 826, 826, 826, 826, 826, 1526, 0, 0, 0, 1649,
5458 1649, 1649, 1649, 0, 1526, 1576, 1576, 1576, 1576, 0,
5459 0, 1461, 1461, 1461, 1461, 1649, 1649, 826, 826, 1526,
5460 1461, 1576, 0, 0, 1461, 0, 0, 1526, 0, 1835,
5461 1835, 1835, 1835, 1622, 1622, 1622, 1622, 1461, 0, 1576,
5462
5463 826, 827, 827, 827, 827, 827, 1461, 827, 827, 827,
5464 827, 827, 827, 827, 827, 827, 827, 827, 827, 827,
5465 827, 1461, 1576, 0, 0, 1622, 1835, 0, 0, 1461,
5466 0, 1577, 1577, 1577, 1577, 0, 0, 1462, 1462, 1462,
5467 1462, 0, 0, 827, 827, 0, 1462, 1577, 1622, 1835,
5468 1462, 0, 1589, 1589, 1589, 1589, 0, 0, 1604, 1604,
5469 1604, 1604, 0, 1462, 0, 1577, 827, 835, 1589, 1589,
5470 835, 835, 1462, 835, 835, 835, 835, 835, 835, 835,
5471 835, 835, 835, 835, 835, 835, 835, 1462, 1577, 1589,
5472 1604, 1650, 1650, 1650, 1650, 1462, 1604, 0, 0, 0,
5473
5474 1626, 1626, 1626, 1626, 0, 835, 0, 1650, 1650, 835,
5475 835, 0, 1589, 1604, 1516, 1516, 1516, 1516, 0, 1604,
5476 1621, 1621, 1621, 1621, 1627, 1627, 1627, 1627, 835, 1621,
5477 1516, 1516, 835, 836, 1626, 1516, 836, 836, 0, 836,
5478 836, 836, 836, 836, 836, 836, 836, 836, 836, 836,
5479 836, 836, 836, 0, 1621, 836, 1516, 1626, 1627, 1516,
5480 0, 1729, 1729, 1729, 1729, 0, 1578, 1578, 1578, 1578,
5481 0, 1843, 1843, 1843, 1843, 836, 836, 1621, 1729, 836,
5482 1843, 1627, 1578, 1578, 0, 0, 0, 1631, 1631, 1631,
5483 1631, 0, 0, 1497, 1497, 1497, 1497, 0, 836, 837,
5484
5485 1578, 0, 837, 837, 837, 837, 837, 837, 837, 837,
5486 837, 837, 837, 837, 837, 837, 837, 837, 837, 1497,
5487 0, 1631, 0, 1578, 0, 1497, 0, 0, 1497, 1651,
5488 1651, 1651, 1651, 837, 0, 0, 0, 0, 1651, 1651,
5489 0, 837, 837, 1497, 1631, 1651, 1651, 0, 1497, 0,
5490 0, 1497, 1844, 1844, 1844, 1844, 837, 1776, 1776, 1776,
5491 1776, 1844, 0, 0, 837, 838, 1776, 0, 838, 838,
5492 838, 838, 838, 838, 838, 838, 838, 838, 838, 838,
5493 838, 838, 838, 838, 838, 1466, 1466, 1466, 1466, 1527,
5494 1527, 1527, 1527, 0, 1466, 1776, 0, 0, 1466, 0,
5495
5496 0, 0, 838, 1467, 1467, 1467, 1467, 838, 838, 0,
5497 0, 1466, 1467, 0, 0, 1527, 1467, 0, 1776, 0,
5498 1466, 0, 0, 0, 1527, 838, 0, 0, 0, 1467,
5499 838, 844, 844, 844, 844, 1466, 0, 844, 1467, 1527,
5500 0, 0, 844, 1466, 844, 0, 0, 1527, 0, 0,
5501 844, 0, 0, 1467, 0, 0, 0, 844, 0, 0,
5502 0, 1467, 1478, 1478, 1478, 1478, 844, 0, 0, 1498,
5503 1498, 1498, 1498, 1561, 1561, 1561, 1561, 0, 0, 1478,
5504 0, 844, 0, 1500, 1500, 1500, 1500, 1478, 0, 844,
5505 845, 845, 845, 845, 0, 1498, 0, 1478, 0, 1561,
5506
5507 0, 1498, 0, 845, 1498, 1500, 0, 0, 1561, 1500,
5508 0, 1478, 0, 0, 845, 0, 845, 0, 1500, 1498,
5509 1478, 0, 0, 1561, 1498, 845, 0, 1498, 0, 1500,
5510 0, 1561, 0, 1500, 1632, 1632, 1632, 1632, 845, 0,
5511 845, 1500, 0, 1499, 1499, 1499, 1499, 0, 845, 846,
5512 846, 846, 846, 0, 1523, 1523, 1523, 1523, 0, 0,
5513 0, 0, 846, 1499, 0, 0, 0, 1523, 1632, 1499,
5514 0, 1523, 0, 0, 1733, 846, 0, 1733, 1499, 0,
5515 1523, 0, 0, 846, 846, 0, 0, 1499, 0, 1523,
5516 0, 1632, 0, 1499, 1733, 0, 1733, 0, 0, 846,
5517
5518 0, 1499, 0, 0, 1523, 0, 846, 846, 847, 847,
5519 847, 847, 1523, 1540, 1540, 1540, 1540, 0, 1733, 0,
5520 1733, 847, 1540, 0, 0, 0, 1540, 1542, 1542, 1542,
5521 1542, 0, 847, 0, 847, 0, 1542, 0, 0, 1540,
5522 1542, 0, 0, 847, 1585, 1585, 1585, 1585, 1540, 1636,
5523 1636, 1636, 1636, 1542, 0, 0, 847, 0, 847, 0,
5524 0, 1585, 1542, 1540, 0, 0, 847, 848, 848, 848,
5525 848, 1540, 1548, 1548, 1548, 1548, 0, 1542, 1585, 0,
5526 848, 0, 0, 1636, 0, 1542, 0, 0, 1548, 1548,
5527 0, 0, 0, 848, 0, 0, 1548, 0, 0, 0,
5528
5529 0, 1585, 848, 0, 0, 848, 1636, 0, 0, 1549,
5530 1549, 1549, 1549, 1560, 1560, 1560, 1560, 848, 0, 0,
5531 1548, 1629, 1629, 1629, 1629, 848, 1549, 0, 848, 849,
5532 849, 849, 849, 0, 0, 1560, 0, 1629, 1629, 1560,
5533 0, 0, 849, 1549, 0, 1549, 1629, 0, 1560, 1562,
5534 1562, 1562, 1562, 849, 0, 849, 0, 0, 0, 1560,
5535 0, 0, 0, 1560, 849, 0, 1549, 0, 1549, 0,
5536 1629, 1560, 0, 0, 0, 1562, 0, 849, 0, 849,
5537 0, 1562, 0, 0, 1562, 0, 0, 849, 850, 850,
5538 850, 850, 1572, 1572, 1572, 1572, 0, 0, 0, 1562,
5539
5540 0, 850, 0, 0, 1562, 0, 0, 1562, 1678, 1678,
5541 1678, 1678, 850, 0, 850, 0, 0, 1572, 0, 1579,
5542 1579, 1579, 1579, 850, 1678, 1678, 1572, 850, 1579, 1579,
5543 0, 1690, 1690, 1690, 1690, 1579, 850, 0, 850, 0,
5544 0, 1572, 1683, 1683, 1683, 1683, 850, 1690, 1690, 1572,
5545 850, 864, 0, 1579, 864, 864, 0, 864, 864, 864,
5546 864, 864, 864, 864, 864, 864, 864, 864, 864, 864,
5547 864, 0, 0, 0, 1683, 0, 1579, 0, 0, 0,
5548 0, 1610, 1610, 1610, 1610, 0, 864, 1566, 1566, 1566,
5549 1566, 0, 0, 864, 864, 0, 1566, 1683, 1610, 0,
5550
5551 1566, 0, 1653, 1653, 1653, 1653, 0, 0, 0, 864,
5552 0, 0, 0, 1566, 0, 1610, 864, 865, 1653, 1653,
5553 865, 865, 1566, 865, 865, 865, 865, 865, 865, 865,
5554 865, 865, 865, 865, 865, 865, 865, 1566, 1610, 1653,
5555 0, 865, 0, 0, 0, 1566, 0, 1679, 1679, 1679,
5556 1679, 0, 0, 0, 1567, 1567, 1567, 1567, 0, 865,
5557 865, 0, 1653, 1567, 1679, 865, 0, 1567, 0, 1679,
5558 1607, 1607, 1607, 1607, 1644, 1644, 1644, 1644, 0, 0,
5559 1567, 0, 865, 871, 871, 871, 871, 0, 0, 1567,
5560 1607, 1644, 0, 1679, 0, 0, 0, 1644, 0, 0,
5561
5562 1583, 1583, 1583, 1583, 1567, 1607, 0, 0, 871, 1583,
5563 1583, 871, 1567, 0, 1607, 0, 1583, 871, 871, 0,
5564 0, 1644, 0, 0, 1687, 1687, 1687, 1687, 1607, 0,
5565 0, 0, 871, 0, 1583, 871, 1697, 1697, 1697, 1697,
5566 871, 871, 887, 887, 887, 887, 887, 887, 887, 887,
5567 887, 887, 0, 1697, 887, 887, 887, 1583, 1687, 887,
5568 0, 887, 887, 0, 0, 887, 0, 887, 0, 887,
5569 1588, 1588, 1588, 1588, 0, 0, 0, 1697, 887, 1588,
5570 1588, 1687, 0, 0, 0, 887, 1588, 1588, 0, 887,
5571 0, 887, 0, 887, 0, 0, 1692, 1692, 1692, 1692,
5572
5573 1697, 887, 0, 0, 1588, 0, 0, 0, 887, 888,
5574 888, 888, 888, 888, 888, 888, 888, 888, 888, 0,
5575 0, 888, 888, 888, 0, 0, 888, 1588, 888, 888,
5576 1692, 0, 888, 0, 888, 0, 888, 1605, 1605, 1605,
5577 1605, 1713, 1713, 1713, 1713, 888, 1755, 1755, 1755, 1755,
5578 1605, 0, 888, 1692, 0, 0, 888, 0, 888, 0,
5579 888, 0, 1755, 1605, 0, 0, 0, 0, 888, 0,
5580 0, 0, 1605, 1713, 0, 888, 895, 895, 895, 895,
5581 1606, 1606, 1606, 1606, 0, 0, 0, 1605, 1755, 1637,
5582 1637, 1637, 1637, 1606, 0, 1605, 1713, 0, 895, 0,
5583
5584 895, 1725, 1725, 1725, 1725, 1637, 1606, 0, 895, 895,
5585 1616, 1616, 1616, 1616, 0, 1606, 0, 1725, 1725, 1616,
5586 0, 0, 895, 1637, 895, 1633, 1633, 1633, 1633, 0,
5587 1606, 895, 895, 898, 898, 898, 898, 0, 1606, 0,
5588 0, 0, 1616, 0, 1616, 0, 1637, 0, 0, 0,
5589 1633, 1618, 1618, 1618, 1618, 898, 0, 898, 0, 1633,
5590 1618, 0, 0, 0, 0, 1616, 0, 1616, 0, 898,
5591 898, 0, 0, 0, 1633, 0, 0, 1618, 0, 898,
5592 0, 898, 1633, 0, 0, 0, 1618, 1638, 1638, 1638,
5593 1638, 0, 898, 898, 917, 917, 917, 917, 0, 917,
5594
5595 0, 1618, 0, 1638, 0, 917, 917, 917, 917, 1618,
5596 0, 917, 917, 1619, 1619, 1619, 1619, 1663, 1663, 1663,
5597 1663, 1638, 1619, 0, 0, 0, 1639, 1639, 1639, 1639,
5598 0, 0, 917, 0, 1756, 1756, 1756, 1756, 0, 1619,
5599 0, 1663, 1639, 1639, 1638, 0, 0, 0, 1619, 1663,
5600 1756, 0, 0, 0, 0, 917, 918, 918, 918, 918,
5601 1639, 918, 0, 1619, 0, 1663, 0, 918, 918, 918,
5602 918, 1619, 1663, 918, 918, 0, 1756, 0, 0, 1640,
5603 1640, 1640, 1640, 1639, 1652, 1652, 1652, 1652, 1640, 1640,
5604 0, 918, 0, 1652, 1652, 1640, 1693, 1693, 1693, 1693,
5605
5606 1652, 1652, 0, 1723, 1723, 1723, 1723, 1652, 1669, 1669,
5607 1669, 1669, 0, 1640, 918, 927, 927, 0, 927, 927,
5608 927, 927, 927, 927, 927, 927, 927, 927, 927, 927,
5609 1693, 1652, 927, 927, 927, 927, 1640, 1723, 0, 0,
5610 1669, 0, 0, 0, 0, 1723, 1669, 0, 1701, 1701,
5611 1701, 1701, 927, 1693, 0, 0, 0, 1701, 927, 0,
5612 1723, 0, 0, 1669, 1701, 1701, 0, 0, 0, 1669,
5613 0, 1655, 1655, 1655, 1655, 927, 0, 0, 0, 0,
5614 1655, 927, 928, 928, 0, 928, 928, 928, 928, 928,
5615 928, 928, 928, 928, 928, 928, 928, 1655, 0, 928,
5616
5617 928, 928, 928, 0, 0, 0, 1655, 1685, 1685, 1685,
5618 1685, 1718, 1718, 1718, 1718, 0, 1685, 0, 0, 0,
5619 928, 1655, 0, 0, 0, 928, 0, 0, 1718, 1655,
5620 0, 0, 0, 1685, 0, 1718, 1760, 1760, 1760, 1760,
5621 0, 0, 1685, 928, 1674, 1674, 1674, 1674, 928, 935,
5622 935, 935, 935, 1760, 935, 0, 0, 1685, 0, 1718,
5623 935, 935, 935, 935, 1674, 1685, 935, 935, 1656, 1656,
5624 1656, 1656, 1735, 1735, 1735, 1735, 0, 0, 1760, 1674,
5625 0, 1656, 0, 0, 0, 1656, 0, 935, 1674, 0,
5626 1695, 1695, 1695, 1695, 1656, 1671, 1671, 1671, 1671, 0,
5627
5628 0, 0, 1674, 1656, 1735, 0, 1695, 1695, 1671, 0,
5629 935, 936, 936, 936, 936, 1695, 936, 0, 1656, 0,
5630 0, 1671, 936, 936, 936, 936, 1656, 1735, 936, 936,
5631 1671, 1673, 1673, 1673, 1673, 936, 0, 0, 0, 1695,
5632 1726, 1726, 1726, 1726, 1673, 1671, 0, 0, 0, 1686,
5633 1686, 1686, 1686, 1671, 0, 0, 1726, 1673, 1686, 936,
5634 949, 949, 949, 949, 0, 0, 1673, 0, 0, 1706,
5635 1706, 1706, 1706, 949, 1726, 1686, 1744, 1744, 1744, 1744,
5636 0, 1673, 1726, 0, 1686, 0, 949, 0, 0, 1673,
5637 0, 0, 0, 1706, 0, 949, 949, 1726, 0, 1686,
5638
5639 0, 1706, 1779, 1779, 1779, 1779, 0, 1686, 1744, 0,
5640 949, 0, 0, 1708, 1708, 1708, 1708, 1706, 949, 949,
5641 950, 950, 950, 950, 1706, 1700, 1700, 1700, 1700, 0,
5642 1779, 1744, 0, 950, 1700, 1700, 0, 0, 0, 1708,
5643 0, 1700, 1700, 0, 0, 1708, 950, 0, 1708, 0,
5644 0, 0, 950, 0, 1779, 950, 0, 0, 1709, 1709,
5645 1709, 1709, 0, 1708, 0, 0, 1700, 0, 1708, 0,
5646 950, 1708, 0, 0, 0, 950, 0, 0, 950, 957,
5647 957, 957, 957, 0, 1709, 0, 0, 0, 0, 1700,
5648 1709, 0, 957, 1709, 1710, 1710, 1710, 1710, 1766, 1766,
5649
5650 1766, 1766, 0, 957, 0, 957, 0, 0, 1709, 1727,
5651 1727, 1727, 1727, 1709, 957, 0, 1709, 0, 1710, 1732,
5652 1732, 1732, 1732, 0, 0, 1727, 1710, 957, 1732, 957,
5653 1766, 1749, 1749, 1749, 1749, 1732, 1732, 957, 961, 961,
5654 961, 961, 1710, 1727, 0, 0, 0, 961, 1749, 1710,
5655 0, 1727, 0, 1766, 1730, 1730, 1730, 1730, 1737, 1737,
5656 1737, 1737, 961, 1730, 1730, 0, 1727, 0, 1749, 0,
5657 1730, 1730, 961, 1739, 1739, 1739, 1739, 961, 0, 0,
5658 1738, 1738, 1738, 1738, 1737, 0, 961, 0, 0, 0,
5659 1737, 1749, 0, 1737, 0, 961, 0, 1739, 0, 0,
5660
5661 961, 1041, 1041, 1041, 1041, 1739, 1738, 0, 1737, 0,
5662 0, 0, 1738, 1737, 1041, 1738, 1737, 1745, 1745, 1745,
5663 1745, 1739, 1752, 1752, 1752, 1752, 0, 1041, 1739, 1041,
5664 1738, 1851, 1851, 1851, 1851, 1738, 1041, 0, 1738, 0,
5665 1851, 1747, 1747, 1747, 1747, 0, 0, 1752, 0, 1745,
5666 1747, 1041, 0, 1041, 0, 1745, 0, 0, 0, 1041,
5667 1042, 1042, 1042, 1042, 0, 0, 0, 1757, 1757, 1757,
5668 1757, 1752, 1745, 1042, 0, 1747, 1757, 1757, 1745, 1747,
5669 0, 1757, 0, 1757, 0, 0, 1042, 1759, 1759, 1759,
5670 1759, 0, 1042, 0, 0, 1042, 1759, 1759, 1747, 0,
5671
5672 0, 0, 1747, 1759, 0, 1809, 1809, 1809, 1809, 1757,
5673 1042, 0, 1759, 0, 0, 1042, 0, 0, 1042, 1044,
5674 1044, 1044, 1044, 0, 1761, 1761, 1761, 1761, 1764, 1764,
5675 1764, 1764, 1044, 1809, 0, 0, 1759, 1764, 0, 1044,
5676 1761, 1761, 0, 0, 1764, 1044, 0, 1809, 1762, 1762,
5677 1762, 1762, 0, 0, 1044, 0, 0, 1809, 1763, 1763,
5678 1763, 1763, 0, 1044, 1762, 1762, 1761, 1763, 1763, 1044,
5679 0, 0, 1763, 0, 1763, 1763, 0, 1044, 1049, 1049,
5680 1049, 1049, 0, 0, 0, 0, 1770, 1770, 1770, 1770,
5681 1762, 1049, 1852, 1852, 1852, 1852, 1771, 1771, 1771, 1771,
5682
5683 1763, 1852, 1049, 0, 1049, 1771, 1791, 1791, 1791, 1791,
5684 1770, 0, 0, 1049, 0, 1791, 1791, 0, 1770, 0,
5685 1771, 0, 1791, 0, 0, 0, 1049, 0, 1049, 0,
5686 1771, 0, 0, 0, 1770, 0, 1049, 1092, 1092, 1092,
5687 1092, 1770, 0, 0, 1771, 0, 1802, 1802, 1802, 1802,
5688 1092, 0, 0, 1771, 1092, 1802, 1774, 1774, 1774, 1774,
5689 0, 1092, 0, 1092, 0, 1774, 0, 0, 0, 1774,
5690 1802, 0, 1092, 0, 0, 1775, 1775, 1775, 1775, 0,
5691 0, 0, 1774, 0, 1775, 1092, 0, 1092, 1775, 0,
5692 0, 1774, 0, 0, 1802, 1092, 1093, 1093, 1093, 1093,
5693
5694 0, 1775, 1792, 1792, 1792, 1792, 1774, 0, 0, 1093,
5695 1775, 1792, 1792, 1093, 1774, 0, 0, 0, 1792, 0,
5696 0, 0, 1093, 0, 0, 1775, 1795, 1795, 1795, 1795,
5697 1093, 1093, 0, 1775, 0, 1795, 1795, 1815, 1815, 1815,
5698 1815, 0, 1795, 0, 0, 0, 1093, 0, 0, 0,
5699 0, 0, 0, 1093, 1093, 1125, 1125, 1125, 1125, 1125,
5700 1125, 1125, 1125, 1125, 1125, 1125, 1125, 1125, 1125, 1125,
5701 1125, 1815, 1125, 1125, 1125, 1125, 1788, 1788, 1788, 1788,
5702 1789, 1789, 1789, 1789, 1790, 1790, 1790, 1790, 0, 0,
5703 0, 0, 1788, 0, 1815, 0, 1789, 0, 1125, 0,
5704
5705 1790, 1790, 0, 0, 1788, 0, 0, 0, 1789, 0,
5706 0, 0, 1790, 0, 1798, 1798, 1798, 1798, 0, 0,
5707 0, 1125, 1148, 1148, 1148, 1148, 0, 0, 1788, 0,
5708 0, 0, 1789, 0, 0, 1148, 1790, 0, 1798, 0,
5709 1799, 1799, 1799, 1799, 1148, 0, 1798, 0, 1148, 1799,
5710 0, 1800, 1800, 1800, 1800, 0, 0, 1148, 0, 0,
5711 1800, 0, 1798, 0, 1799, 0, 0, 0, 1148, 1798,
5712 0, 0, 1148, 0, 1799, 0, 0, 1800, 0, 0,
5713 1148, 1187, 1187, 1187, 1187, 0, 1800, 1187, 1799, 0,
5714 0, 0, 1187, 0, 1187, 0, 0, 1799, 1187, 0,
5715
5716 1187, 1800, 1801, 1801, 1801, 1801, 0, 1187, 0, 1800,
5717 0, 1801, 1804, 1804, 1804, 1804, 1187, 1810, 1810, 1810,
5718 1810, 0, 1811, 1811, 1811, 1811, 0, 0, 1801, 0,
5719 0, 1187, 0, 1828, 1828, 1828, 1828, 1801, 0, 1187,
5720 1188, 1188, 1188, 1188, 1804, 1810, 1816, 1816, 1816, 1816,
5721 1811, 0, 1801, 1188, 0, 0, 0, 1188, 0, 0,
5722 1801, 1817, 1817, 1817, 1817, 1828, 1188, 1804, 0, 1810,
5723 0, 0, 0, 1188, 1811, 1188, 1819, 1819, 1819, 1819,
5724 1816, 1820, 1820, 1820, 1820, 0, 1817, 0, 1828, 0,
5725 1188, 0, 1819, 1819, 0, 1817, 1188, 1820, 1188, 1189,
5726
5727 1189, 1189, 1189, 1816, 1821, 1821, 1821, 1821, 0, 1820,
5728 1817, 0, 1189, 1819, 0, 0, 1189, 0, 1817, 0,
5729 1821, 0, 0, 1820, 0, 1189, 0, 0, 1827, 1827,
5730 1827, 1827, 1821, 1820, 1189, 1189, 1819, 1827, 1827, 1830,
5731 1830, 1830, 1830, 0, 1827, 0, 1821, 0, 1830, 1189,
5732 0, 0, 0, 0, 0, 0, 1821, 1189, 1189, 1190,
5733 1190, 1190, 1190, 0, 0, 1830, 0, 1831, 1831, 1831,
5734 1831, 0, 0, 0, 1830, 0, 1831, 1838, 1838, 1838,
5735 1838, 1190, 0, 1190, 0, 1832, 1832, 1832, 1832, 1830,
5736 0, 0, 1190, 1831, 1832, 1190, 0, 1830, 1839, 1839,
5737
5738 1839, 1839, 1831, 0, 0, 1190, 0, 1190, 0, 1832,
5739 0, 1838, 0, 0, 0, 1190, 0, 1831, 1190, 1194,
5740 1194, 1194, 1194, 0, 0, 1831, 1839, 1841, 1841, 1841,
5741 1841, 0, 1194, 1832, 1838, 0, 1840, 1840, 1840, 1840,
5742 0, 0, 0, 1841, 1841, 1194, 1842, 1842, 1842, 1842,
5743 1839, 1194, 0, 0, 1194, 1842, 1842, 0, 1849, 1849,
5744 1849, 1849, 1842, 0, 1840, 0, 0, 0, 0, 1194,
5745 1840, 0, 0, 0, 1194, 0, 0, 1194, 1195, 1195,
5746 1195, 1195, 0, 1842, 0, 0, 0, 0, 1840, 0,
5747 0, 1195, 1849, 1840, 0, 1849, 0, 0, 1850, 1850,
5748
5749 1850, 1850, 0, 0, 1195, 0, 1842, 1850, 1850, 0,
5750 1195, 0, 0, 1195, 1850, 1849, 0, 0, 1849, 1856,
5751 1856, 1856, 1856, 0, 0, 0, 0, 0, 1195, 0,
5752 0, 0, 0, 1195, 0, 0, 1195, 1213, 1213, 1213,
5753 1213, 0, 1213, 0, 0, 0, 0, 0, 1213, 1213,
5754 1213, 1213, 1213, 1856, 1213, 1213, 0, 0, 0, 0,
5755 0, 0, 0, 0, 0, 0, 0, 0, 0, 1857,
5756 1857, 1857, 1857, 0, 0, 0, 1856, 0, 0, 1213,
5757 1213, 1215, 1215, 1215, 1215, 1215, 1215, 1215, 1215, 1215,
5758 1215, 1215, 1215, 1215, 1215, 1215, 1215, 1857, 1215, 1215,
5759
5760 1215, 1215, 0, 1857, 0, 0, 0, 0, 0, 0,
5761 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5762 0, 1857, 0, 0, 1215, 0, 1857, 0, 0, 0,
5763 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5764 0, 0, 0, 0, 0, 0, 0, 1215, 1218, 1218,
5765 1218, 1218, 1218, 1218, 1218, 1218, 1218, 1218, 1218, 1218,
5766 1218, 1218, 1218, 1218, 0, 1218, 1218, 1218, 1218, 0,
5767 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5768 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5769 0, 1218, 0, 0, 0, 0, 0, 0, 0, 0,
5770
5771 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5772 0, 0, 0, 0, 1218, 1220, 1220, 1220, 1220, 1220,
5773 1220, 1220, 1220, 1220, 1220, 1220, 1220, 1220, 1220, 1220,
5774 1220, 0, 1220, 1220, 1220, 1220, 0, 0, 0, 0,
5775 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5776 0, 0, 0, 0, 0, 0, 0, 0, 1220, 0,
5777 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5778 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5779 0, 1220, 1234, 1234, 1234, 1234, 0, 0, 0, 0,
5780 0, 0, 0, 0, 0, 1234, 0, 0, 0, 0,
5781
5782 0, 0, 0, 0, 0, 0, 0, 0, 1234, 0,
5783 0, 0, 0, 0, 1234, 0, 0, 1234, 0, 0,
5784 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5785 0, 0, 1234, 0, 0, 0, 0, 1234, 0, 0,
5786 1234, 1235, 1235, 1235, 1235, 0, 0, 0, 0, 0,
5787 1235, 0, 0, 0, 1235, 0, 0, 0, 0, 0,
5788 0, 0, 0, 0, 0, 0, 0, 1235, 0, 0,
5789 0, 0, 0, 0, 0, 1235, 1235, 0, 0, 0,
5790 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5791 0, 1235, 0, 0, 0, 0, 0, 0, 1235, 1235,
5792
5793 1271, 1271, 1271, 1271, 0, 0, 1271, 0, 0, 0,
5794 0, 1271, 0, 1271, 0, 0, 0, 1271, 0, 1271,
5795 0, 0, 0, 0, 0, 0, 1271, 0, 0, 0,
5796 0, 0, 0, 0, 0, 1271, 0, 0, 0, 0,
5797 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5798 1271, 0, 0, 0, 0, 0, 0, 0, 1271, 1272,
5799 1272, 1272, 1272, 0, 0, 0, 0, 0, 0, 0,
5800 0, 0, 1272, 0, 0, 0, 1272, 0, 0, 0,
5801 0, 0, 0, 1272, 0, 1272, 0, 0, 0, 0,
5802 0, 0, 0, 0, 1272, 0, 0, 0, 0, 0,
5803
5804 0, 0, 0, 0, 0, 0, 0, 1272, 0, 1272,
5805 0, 0, 0, 0, 0, 0, 0, 1272, 1273, 1273,
5806 1273, 1273, 0, 0, 0, 0, 0, 0, 0, 0,
5807 0, 1273, 0, 0, 0, 1273, 0, 0, 0, 0,
5808 0, 0, 0, 0, 1273, 0, 0, 0, 0, 0,
5809 0, 0, 1273, 1273, 0, 0, 0, 0, 0, 0,
5810 0, 0, 0, 0, 0, 0, 0, 0, 1273, 0,
5811 0, 0, 0, 0, 0, 1273, 1273, 1274, 1274, 1274,
5812 1274, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5813 1274, 0, 0, 0, 1274, 0, 0, 0, 0, 0,
5814
5815 0, 1274, 0, 1274, 0, 0, 0, 0, 0, 0,
5816 0, 0, 1274, 0, 0, 0, 0, 0, 0, 0,
5817 0, 0, 0, 0, 0, 1274, 0, 1274, 0, 0,
5818 0, 0, 0, 0, 0, 1274, 1275, 1275, 1275, 1275,
5819 0, 0, 0, 0, 0, 0, 0, 0, 0, 1275,
5820 0, 0, 0, 1275, 0, 0, 0, 0, 0, 0,
5821 0, 0, 1275, 0, 0, 0, 0, 0, 0, 0,
5822 0, 1275, 0, 0, 1275, 0, 0, 0, 0, 0,
5823 0, 0, 0, 0, 0, 0, 1275, 0, 0, 0,
5824 0, 0, 0, 0, 1275, 0, 0, 1275, 1278, 1278,
5825
5826 1278, 1278, 1278, 1278, 1278, 1278, 1278, 1278, 0, 0,
5827 1278, 1278, 1278, 0, 0, 1278, 0, 1278, 1278, 0,
5828 0, 0, 0, 0, 0, 1278, 0, 0, 0, 0,
5829 0, 0, 0, 0, 1278, 0, 0, 0, 0, 0,
5830 0, 1278, 0, 0, 0, 0, 0, 0, 0, 1278,
5831 0, 0, 0, 0, 0, 0, 0, 1278, 0, 0,
5832 0, 0, 0, 0, 1278, 1282, 1282, 1282, 1282, 0,
5833 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5834 0, 0, 0, 0, 0, 0, 0, 1282, 0, 1282,
5835 0, 0, 0, 0, 0, 0, 0, 0, 1282, 0,
5836
5837 0, 1282, 0, 0, 0, 0, 0, 0, 0, 0,
5838 0, 1282, 0, 1282, 0, 0, 0, 0, 0, 0,
5839 0, 1282, 0, 0, 1282, 1293, 1293, 1293, 1293, 0,
5840 0, 0, 0, 0, 0, 0, 1293, 1293, 1293, 0,
5841 1293, 0, 1293, 1293, 0, 0, 0, 0, 0, 0,
5842 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5843 0, 0, 0, 0, 0, 0, 0, 1293, 1293, 1294,
5844 1294, 1294, 1294, 0, 1294, 0, 0, 0, 0, 0,
5845 1294, 1294, 1294, 1294, 1294, 0, 1294, 1294, 0, 0,
5846 0, 0, 0, 0, 1294, 0, 0, 0, 0, 0,
5847
5848 0, 0, 0, 0, 1294, 0, 0, 0, 0, 0,
5849 0, 1294, 1294, 0, 0, 0, 0, 0, 1294, 0,
5850 0, 0, 0, 0, 0, 0, 0, 1294, 1298, 1298,
5851 1298, 1298, 0, 0, 0, 0, 0, 0, 0, 1298,
5852 1298, 1298, 0, 1298, 0, 1298, 1298, 0, 0, 0,
5853 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5854 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5855 1298, 1298, 1300, 1300, 1300, 1300, 0, 1300, 0, 0,
5856 0, 0, 0, 1300, 1300, 1300, 1300, 1300, 0, 1300,
5857 1300, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5858
5859 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5860 0, 0, 0, 0, 1300, 1300, 1301, 0, 0, 1301,
5861 1301, 0, 1301, 1301, 1301, 1301, 1301, 1301, 1301, 1301,
5862 1301, 1301, 1301, 1301, 1301, 1301, 0, 0, 0, 0,
5863 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5864 0, 0, 0, 0, 0, 0, 0, 0, 1301, 1301,
5865 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5866 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5867 0, 1301, 1303, 1303, 1303, 1303, 1303, 1303, 1303, 1303,
5868 1303, 1303, 1303, 1303, 1303, 1303, 1303, 1303, 0, 1303,
5869
5870 1303, 1303, 1303, 0, 0, 0, 0, 0, 0, 0,
5871 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5872 0, 0, 0, 0, 0, 1303, 0, 0, 0, 0,
5873 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5874 0, 0, 0, 0, 0, 0, 0, 0, 1303, 1311,
5875 1311, 1311, 1311, 0, 0, 0, 0, 0, 0, 0,
5876 1311, 1311, 1311, 0, 1311, 0, 1311, 1311, 0, 0,
5877 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5878 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5879 0, 1311, 1311, 1313, 1313, 1313, 1313, 0, 1313, 0,
5880
5881 0, 0, 0, 0, 1313, 1313, 1313, 1313, 1313, 0,
5882 1313, 1313, 0, 0, 0, 0, 0, 0, 0, 0,
5883 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5884 0, 0, 0, 0, 0, 1313, 1313, 1316, 1316, 1316,
5885 1316, 0, 1316, 0, 0, 0, 0, 0, 1316, 1316,
5886 1316, 1316, 1316, 0, 1316, 1316, 0, 0, 0, 0,
5887 0, 0, 1316, 0, 0, 0, 0, 0, 0, 0,
5888 0, 0, 1316, 0, 0, 0, 0, 0, 0, 1316,
5889 1316, 0, 0, 0, 0, 0, 1316, 0, 0, 0,
5890 0, 0, 0, 0, 0, 1316, 1317, 1317, 1317, 1317,
5891
5892 0, 1317, 0, 0, 0, 0, 0, 1317, 1317, 1317,
5893 1317, 0, 0, 1317, 1317, 0, 0, 0, 0, 0,
5894 1317, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5895 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5896 0, 0, 0, 0, 1317, 1319, 0, 0, 1319, 1319,
5897 0, 1319, 1319, 1319, 1319, 1319, 1319, 1319, 1319, 1319,
5898 1319, 1319, 1319, 1319, 1319, 0, 0, 0, 1319, 0,
5899 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5900 0, 0, 0, 0, 0, 0, 0, 1319, 1319, 0,
5901 0, 0, 1319, 0, 0, 0, 0, 0, 0, 0,
5902
5903 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5904 1319, 1320, 1320, 1320, 1320, 1320, 0, 1320, 1320, 1320,
5905 1320, 1320, 1320, 1320, 1320, 1320, 1320, 1320, 1320, 1320,
5906 1320, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5907 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5908 0, 0, 0, 1320, 1320, 0, 0, 0, 0, 0,
5909 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5910 0, 0, 0, 0, 0, 0, 1320, 1323, 1323, 1323,
5911 1323, 1323, 1323, 1323, 1323, 1323, 1323, 1323, 1323, 1323,
5912 1323, 1323, 1323, 1323, 1323, 1323, 1323, 0, 0, 0,
5913
5914 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5915 0, 0, 1323, 0, 0, 0, 0, 0, 0, 1323,
5916 1323, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5917 0, 0, 0, 0, 0, 1323, 0, 0, 0, 0,
5918 0, 0, 1323, 1328, 1328, 1328, 1328, 0, 0, 0,
5919 0, 0, 0, 0, 0, 0, 1328, 0, 0, 0,
5920 0, 0, 0, 0, 0, 0, 0, 0, 0, 1328,
5921 0, 0, 0, 0, 0, 0, 0, 1328, 1328, 0,
5922 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5923 0, 0, 0, 1328, 0, 0, 0, 0, 0, 0,
5924
5925 1328, 1328, 1337, 1337, 1337, 1337, 0, 0, 0, 0,
5926 0, 0, 0, 0, 0, 1337, 0, 0, 0, 0,
5927 0, 0, 0, 0, 0, 0, 0, 0, 1337, 0,
5928 1337, 0, 0, 0, 0, 0, 0, 1337, 0, 0,
5929 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5930 0, 0, 1337, 0, 1337, 0, 0, 0, 0, 0,
5931 1337, 1338, 1338, 1338, 1338, 0, 0, 0, 0, 0,
5932 0, 0, 0, 0, 1338, 0, 0, 0, 0, 0,
5933 0, 0, 0, 0, 0, 0, 0, 1338, 0, 0,
5934 0, 0, 0, 1338, 0, 0, 1338, 0, 0, 0,
5935
5936 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5937 0, 1338, 0, 0, 0, 0, 1338, 0, 0, 1338,
5938 1340, 1340, 1340, 1340, 0, 0, 0, 0, 0, 0,
5939 0, 0, 0, 1340, 0, 0, 0, 0, 0, 0,
5940 0, 0, 0, 0, 0, 0, 1340, 0, 0, 0,
5941 0, 0, 1340, 0, 0, 1340, 0, 0, 0, 0,
5942 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5943 1340, 0, 0, 0, 0, 1340, 0, 0, 1340, 1345,
5944 1345, 1345, 1345, 0, 1345, 0, 0, 0, 1345, 1345,
5945 1345, 1345, 1345, 1345, 0, 1345, 0, 1345, 1346, 1346,
5946
5947 1346, 1346, 0, 1346, 0, 0, 0, 1346, 1346, 1346,
5948 1346, 1346, 1346, 0, 1346, 0, 1346, 0, 0, 0,
5949 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5950 0, 1346, 0, 0, 0, 0, 0, 0, 0, 0,
5951 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5952 0, 0, 0, 0, 1346, 1350, 1350, 1350, 1350, 1350,
5953 0, 1350, 1350, 1350, 1350, 1350, 1350, 1350, 1350, 1350,
5954 1350, 1350, 1350, 1350, 1350, 0, 0, 0, 0, 0,
5955 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5956 0, 0, 0, 0, 0, 0, 0, 1350, 1350, 0,
5957
5958 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5959 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5960 1350, 1353, 1353, 1353, 1353, 0, 0, 0, 0, 0,
5961 0, 0, 0, 0, 1353, 0, 0, 0, 1353, 0,
5962 0, 0, 0, 0, 0, 0, 0, 1353, 0, 0,
5963 0, 0, 0, 0, 0, 0, 1353, 1353, 0, 0,
5964 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5965 0, 1353, 0, 0, 0, 0, 0, 0, 0, 1353,
5966 1353, 1354, 1354, 1354, 1354, 0, 0, 0, 0, 0,
5967 0, 0, 0, 0, 1354, 0, 0, 0, 1354, 0,
5968
5969 0, 0, 0, 0, 0, 0, 0, 1354, 0, 0,
5970 0, 0, 0, 1354, 0, 0, 1354, 0, 0, 0,
5971 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
5972 0, 1354, 0, 0, 0, 0, 1354, 0, 0, 1354,
5973 1355, 1355, 1355, 1355, 1355, 1355, 1355, 1355, 1355, 1355,
5974 0, 0, 1355, 1355, 1355, 0, 0, 1355, 0, 1355,
5975 1355, 0, 0, 0, 0, 0, 0, 1355, 0, 0,
5976 0, 0, 0, 0, 1355, 0, 1355, 0, 0, 0,
5977 0, 0, 0, 1355, 0, 0, 0, 0, 0, 0,
5978 0, 1355, 0, 0, 0, 0, 0, 1355, 0, 1355,
5979
5980 0, 0, 0, 0, 0, 0, 1355, 1356, 1356, 1356,
5981 1356, 1356, 1356, 1356, 1356, 1356, 1356, 0, 0, 1356,
5982 1356, 1356, 0, 0, 1356, 0, 1356, 1356, 0, 0,
5983 0, 0, 0, 0, 1356, 0, 0, 0, 0, 0,
5984 0, 0, 0, 1356, 1356, 0, 0, 0, 0, 0,
5985 1356, 0, 0, 0, 0, 0, 0, 0, 1356, 0,
5986 0, 0, 0, 0, 0, 0, 1356, 1356, 0, 0,
5987 0, 0, 0, 1356, 1357, 1357, 1357, 1357, 1357, 1357,
5988 1357, 1357, 1357, 1357, 0, 0, 1357, 1357, 1357, 0,
5989 0, 1357, 0, 1357, 1357, 0, 0, 0, 0, 0,
5990
5991 0, 1357, 0, 0, 0, 0, 0, 0, 0, 0,
5992 1357, 0, 0, 0, 0, 0, 0, 1357, 0, 0,
5993 0, 0, 0, 0, 0, 1357, 0, 0, 0, 0,
5994 0, 0, 0, 1357, 0, 0, 0, 0, 0, 0,
5995 1357, 1358, 1358, 1358, 1358, 1358, 1358, 1358, 1358, 1358,
5996 1358, 0, 0, 1358, 1358, 1358, 0, 0, 1358, 0,
5997 1358, 1358, 0, 0, 0, 0, 0, 0, 1358, 0,
5998 0, 0, 0, 0, 0, 0, 0, 1358, 0, 0,
5999 0, 0, 0, 0, 1358, 0, 0, 0, 0, 0,
6000 0, 0, 1358, 0, 0, 0, 0, 0, 0, 0,
6001
6002 1358, 0, 0, 0, 0, 0, 0, 1358, 1359, 1359,
6003 1359, 1359, 1359, 1359, 1359, 1359, 1359, 1359, 0, 0,
6004 1359, 1359, 1359, 0, 0, 1359, 0, 1359, 1359, 0,
6005 0, 0, 0, 0, 0, 1359, 0, 0, 0, 0,
6006 0, 0, 0, 0, 1359, 0, 0, 0, 0, 0,
6007 0, 1359, 0, 0, 0, 0, 0, 0, 0, 1359,
6008 0, 0, 0, 0, 0, 0, 0, 1359, 0, 0,
6009 0, 0, 0, 0, 1359, 1360, 1360, 1360, 1360, 1360,
6010 1360, 1360, 1360, 1360, 1360, 0, 0, 1360, 1360, 1360,
6011 0, 0, 1360, 0, 1360, 1360, 0, 0, 0, 0,
6012
6013 0, 0, 1360, 0, 0, 0, 0, 0, 0, 0,
6014 0, 1360, 0, 0, 0, 0, 0, 0, 1360, 0,
6015 0, 0, 0, 0, 0, 0, 1360, 0, 0, 0,
6016 0, 0, 0, 0, 1360, 0, 0, 0, 0, 0,
6017 0, 1360, 1372, 1372, 1372, 1372, 0, 0, 0, 0,
6018 0, 0, 0, 1372, 1372, 1372, 0, 1372, 0, 1372,
6019 1372, 0, 0, 0, 0, 0, 0, 1372, 0, 0,
6020 0, 0, 0, 0, 0, 0, 0, 1372, 0, 0,
6021 0, 0, 0, 0, 1372, 1372, 0, 0, 0, 0,
6022 0, 1372, 0, 0, 0, 0, 0, 0, 0, 0,
6023
6024 1372, 1380, 1380, 1380, 1380, 0, 1380, 0, 0, 0,
6025 0, 0, 1380, 1380, 1380, 1380, 0, 0, 1380, 1380,
6026 1381, 1381, 1381, 1381, 0, 1381, 0, 0, 0, 0,
6027 0, 1381, 1381, 1381, 1381, 0, 0, 1381, 1381, 0,
6028 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6029 0, 0, 0, 0, 0, 0, 0, 0, 0, 1381,
6030 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6031 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6032 0, 0, 1381, 1384, 1384, 1384, 1384, 0, 1384, 0,
6033 0, 0, 0, 0, 1384, 1384, 1384, 1384, 1384, 0,
6034
6035 1384, 1384, 0, 0, 0, 0, 0, 0, 1384, 0,
6036 0, 0, 0, 0, 0, 0, 0, 0, 1384, 0,
6037 0, 0, 0, 0, 0, 1384, 1384, 0, 0, 0,
6038 0, 0, 1384, 0, 0, 0, 0, 0, 0, 0,
6039 0, 1384, 1386, 1386, 1386, 1386, 0, 0, 0, 0,
6040 0, 0, 0, 1386, 1386, 1386, 0, 1386, 0, 1386,
6041 1386, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6042 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6043 0, 0, 0, 0, 1386, 1386, 1387, 1387, 1387, 1387,
6044 0, 0, 0, 0, 0, 0, 0, 1387, 1387, 1387,
6045
6046 0, 1387, 0, 1387, 1387, 0, 0, 0, 0, 0,
6047 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6048 0, 0, 0, 0, 0, 0, 0, 0, 1387, 1387,
6049 1388, 1388, 1388, 1388, 0, 1388, 0, 0, 0, 0,
6050 0, 1388, 1388, 1388, 1388, 1388, 0, 1388, 1388, 0,
6051 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6052 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6053 0, 0, 1388, 1388, 1389, 1389, 1389, 1389, 0, 1389,
6054 0, 0, 0, 0, 0, 1389, 1389, 1389, 1389, 1389,
6055 0, 1389, 1389, 0, 0, 0, 0, 0, 0, 0,
6056
6057 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6058 0, 0, 0, 0, 0, 0, 1389, 1389, 1390, 0,
6059 0, 1390, 1390, 0, 1390, 1390, 1390, 1390, 1390, 1390,
6060 1390, 1390, 1390, 1390, 1390, 1390, 1390, 1390, 0, 0,
6061 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6062 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6063 1390, 1390, 0, 0, 0, 0, 0, 0, 0, 0,
6064 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6065 0, 0, 0, 1390, 1391, 0, 0, 1391, 1391, 0,
6066 1391, 1391, 1391, 1391, 1391, 1391, 1391, 1391, 1391, 1391,
6067
6068 1391, 1391, 1391, 1391, 0, 0, 0, 0, 0, 0,
6069 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6070 0, 0, 0, 0, 0, 0, 1391, 1391, 0, 0,
6071 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6072 0, 0, 0, 0, 0, 0, 0, 0, 0, 1391,
6073 1392, 1392, 1392, 1392, 1392, 1392, 1392, 1392, 1392, 1392,
6074 1392, 1392, 1392, 1392, 1392, 1392, 1392, 1392, 1392, 1392,
6075 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6076 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6077 0, 0, 1392, 1392, 0, 0, 0, 0, 0, 0,
6078
6079 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6080 0, 0, 0, 0, 0, 1392, 1397, 1397, 1397, 1397,
6081 0, 0, 0, 0, 0, 0, 0, 1397, 1397, 1397,
6082 0, 1397, 0, 1397, 1397, 0, 0, 0, 0, 0,
6083 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6084 0, 0, 0, 0, 0, 0, 0, 0, 1397, 1397,
6085 1398, 1398, 1398, 1398, 0, 0, 0, 0, 0, 0,
6086 0, 1398, 1398, 1398, 0, 1398, 0, 1398, 1398, 0,
6087 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6088 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6089
6090 0, 0, 1398, 1398, 1399, 1399, 1399, 1399, 0, 1399,
6091 0, 0, 0, 0, 0, 1399, 1399, 1399, 1399, 1399,
6092 0, 1399, 1399, 0, 0, 0, 0, 0, 0, 0,
6093 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6094 0, 0, 0, 0, 0, 0, 1399, 1399, 1400, 1400,
6095 1400, 1400, 0, 1400, 0, 0, 0, 0, 0, 1400,
6096 1400, 1400, 1400, 1400, 0, 1400, 1400, 0, 0, 0,
6097 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6098 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6099 1400, 1400, 1401, 1401, 1401, 1401, 0, 0, 0, 0,
6100
6101 0, 0, 0, 1401, 1401, 1401, 0, 1401, 0, 1401,
6102 1401, 0, 0, 0, 0, 0, 0, 1401, 0, 0,
6103 0, 0, 0, 0, 0, 0, 0, 1401, 0, 0,
6104 0, 0, 0, 0, 1401, 1401, 0, 0, 0, 0,
6105 0, 1401, 0, 0, 0, 0, 0, 0, 0, 0,
6106 1401, 1406, 1406, 1406, 1406, 0, 1406, 0, 0, 0,
6107 0, 0, 1406, 1406, 1406, 1406, 0, 0, 1406, 1406,
6108 1407, 1407, 1407, 1407, 0, 1407, 0, 0, 0, 0,
6109 0, 1407, 1407, 1407, 1407, 0, 0, 1407, 1407, 0,
6110 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6111
6112 0, 0, 0, 0, 0, 0, 0, 0, 0, 1407,
6113 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6114 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6115 0, 0, 1407, 1409, 1409, 1409, 1409, 0, 1409, 0,
6116 0, 0, 0, 0, 1409, 1409, 1409, 1409, 1409, 0,
6117 1409, 1409, 0, 0, 0, 0, 0, 0, 1409, 0,
6118 0, 0, 0, 0, 0, 0, 0, 0, 1409, 0,
6119 0, 0, 0, 0, 0, 1409, 1409, 0, 0, 0,
6120 0, 0, 1409, 0, 0, 0, 0, 0, 0, 0,
6121 0, 1409, 1410, 1410, 1410, 1410, 1410, 0, 1410, 1410,
6122
6123 1410, 1410, 1410, 1410, 1410, 1410, 1410, 1410, 1410, 1410,
6124 1410, 1410, 0, 0, 0, 0, 0, 0, 0, 0,
6125 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6126 0, 0, 0, 0, 1410, 1410, 0, 0, 0, 0,
6127 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6128 0, 0, 0, 0, 0, 0, 0, 1410, 1411, 1411,
6129 1411, 1411, 1411, 1411, 1411, 1411, 1411, 1411, 1411, 1411,
6130 1411, 1411, 1411, 1411, 1411, 1411, 1411, 1411, 0, 0,
6131 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6132 0, 0, 0, 1411, 0, 0, 0, 0, 0, 0,
6133
6134 1411, 1411, 0, 0, 0, 0, 0, 0, 0, 0,
6135 0, 0, 0, 0, 0, 0, 1411, 0, 0, 0,
6136 0, 0, 0, 1411, 1412, 1412, 1412, 1412, 1412, 1412,
6137 1412, 1412, 1412, 1412, 1412, 1412, 1412, 1412, 1412, 1412,
6138 1412, 1412, 1412, 1412, 0, 0, 0, 0, 0, 0,
6139 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6140 1412, 0, 0, 0, 0, 0, 1412, 1412, 0, 0,
6141 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6142 0, 0, 0, 1412, 0, 0, 0, 0, 0, 1412,
6143 1414, 1414, 1414, 1414, 0, 0, 0, 0, 0, 0,
6144
6145 0, 0, 0, 1414, 0, 0, 0, 0, 0, 0,
6146 0, 0, 0, 0, 0, 0, 1414, 0, 0, 0,
6147 0, 0, 0, 1414, 0, 1414, 0, 0, 0, 0,
6148 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6149 1414, 0, 0, 0, 0, 0, 1414, 0, 1414, 1426,
6150 1426, 1426, 1426, 0, 0, 0, 0, 0, 0, 0,
6151 0, 0, 1426, 0, 0, 0, 0, 0, 0, 0,
6152 0, 1426, 0, 0, 0, 1426, 0, 0, 0, 0,
6153 0, 0, 0, 0, 1426, 0, 0, 0, 0, 0,
6154 0, 0, 0, 0, 0, 1426, 0, 0, 0, 1426,
6155
6156 0, 0, 0, 0, 0, 0, 0, 1426, 1433, 1433,
6157 1433, 1433, 0, 0, 0, 0, 0, 0, 0, 0,
6158 0, 1433, 0, 0, 0, 0, 0, 0, 0, 0,
6159 0, 0, 0, 0, 1433, 0, 0, 0, 0, 0,
6160 0, 0, 1433, 1433, 1433, 0, 0, 0, 0, 0,
6161 0, 0, 0, 0, 0, 0, 0, 0, 1433, 0,
6162 0, 0, 0, 0, 0, 1433, 1433, 1433, 1437, 1437,
6163 1437, 1437, 0, 1437, 0, 0, 0, 1437, 1437, 1437,
6164 1437, 1437, 1437, 0, 1437, 0, 1437, 1441, 1441, 1441,
6165 1441, 1441, 0, 1441, 1441, 1441, 1441, 1441, 1441, 1441,
6166
6167 1441, 1441, 1441, 1441, 1441, 1441, 1441, 0, 0, 0,
6168 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6169 0, 0, 1441, 0, 0, 0, 0, 0, 0, 1441,
6170 1441, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6171 0, 0, 0, 0, 0, 1441, 0, 0, 0, 0,
6172 0, 0, 1441, 1443, 1443, 1443, 1443, 0, 0, 0,
6173 0, 0, 0, 0, 0, 0, 1443, 0, 0, 0,
6174 1443, 0, 0, 0, 0, 0, 0, 0, 0, 1443,
6175 0, 1443, 0, 0, 0, 0, 0, 0, 1443, 0,
6176 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6177
6178 0, 0, 0, 1443, 0, 1443, 0, 0, 0, 0,
6179 0, 1443, 1444, 1444, 1444, 1444, 0, 0, 0, 0,
6180 0, 0, 0, 0, 0, 1444, 0, 0, 0, 1444,
6181 0, 0, 0, 0, 0, 0, 0, 0, 1444, 0,
6182 0, 0, 0, 0, 1444, 0, 0, 1444, 0, 0,
6183 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6184 0, 0, 1444, 0, 0, 0, 0, 1444, 0, 0,
6185 1444, 1446, 1446, 1446, 1446, 0, 0, 0, 0, 0,
6186 0, 0, 0, 0, 1446, 0, 0, 0, 1446, 0,
6187 0, 1446, 0, 0, 0, 0, 0, 1446, 0, 0,
6188
6189 0, 0, 0, 0, 0, 0, 1446, 0, 0, 0,
6190 0, 0, 0, 0, 0, 1446, 0, 0, 0, 0,
6191 0, 1446, 0, 0, 0, 0, 0, 0, 0, 1446,
6192 1447, 1447, 1447, 1447, 1447, 1447, 1447, 1447, 1447, 1447,
6193 0, 0, 1447, 1447, 1447, 0, 0, 1447, 0, 1447,
6194 1447, 0, 0, 0, 0, 0, 0, 1447, 0, 0,
6195 0, 0, 0, 0, 0, 0, 1447, 0, 0, 1447,
6196 0, 0, 0, 1447, 0, 0, 0, 0, 0, 0,
6197 0, 1447, 0, 0, 0, 0, 0, 0, 0, 1447,
6198 0, 0, 1447, 0, 0, 0, 1447, 1448, 1448, 1448,
6199
6200 1448, 1448, 1448, 1448, 1448, 1448, 1448, 0, 0, 1448,
6201 1448, 1448, 0, 0, 1448, 0, 1448, 1448, 1448, 0,
6202 0, 0, 0, 0, 1448, 0, 0, 0, 0, 0,
6203 0, 0, 0, 1448, 0, 0, 0, 0, 0, 0,
6204 1448, 0, 1448, 0, 0, 0, 0, 0, 1448, 0,
6205 0, 0, 0, 0, 0, 0, 1448, 0, 0, 0,
6206 0, 0, 0, 1448, 1449, 1449, 1449, 1449, 1449, 1449,
6207 1449, 1449, 1449, 1449, 0, 0, 1449, 1449, 1449, 0,
6208 0, 1449, 0, 1449, 1449, 0, 0, 0, 0, 0,
6209 0, 1449, 0, 0, 0, 0, 0, 0, 0, 0,
6210
6211 1449, 0, 0, 0, 0, 0, 0, 1449, 0, 0,
6212 0, 0, 0, 0, 0, 1449, 0, 0, 0, 0,
6213 0, 0, 0, 1449, 0, 0, 0, 0, 0, 0,
6214 1449, 1450, 1450, 1450, 1450, 1450, 1450, 1450, 1450, 1450,
6215 1450, 0, 0, 1450, 1450, 1450, 0, 0, 1450, 0,
6216 1450, 1450, 0, 0, 0, 0, 0, 0, 1450, 0,
6217 0, 0, 0, 0, 0, 0, 0, 1450, 0, 0,
6218 0, 0, 0, 0, 1450, 0, 0, 0, 0, 0,
6219 0, 0, 1450, 0, 0, 0, 0, 0, 0, 0,
6220 1450, 0, 0, 0, 0, 0, 0, 1450, 1457, 1457,
6221
6222 1457, 1457, 1457, 1457, 1457, 1457, 1457, 1457, 0, 0,
6223 1457, 1457, 1457, 0, 0, 1457, 0, 1457, 1457, 0,
6224 0, 0, 0, 0, 0, 1457, 0, 0, 0, 0,
6225 0, 0, 0, 0, 1457, 0, 0, 0, 0, 0,
6226 0, 1457, 0, 0, 0, 0, 0, 0, 0, 1457,
6227 0, 0, 0, 0, 0, 0, 0, 1457, 0, 0,
6228 0, 0, 0, 0, 1457, 1458, 1458, 1458, 1458, 1458,
6229 1458, 1458, 1458, 1458, 1458, 0, 0, 1458, 1458, 1458,
6230 0, 0, 1458, 0, 1458, 1458, 0, 0, 0, 0,
6231 0, 0, 1458, 0, 0, 0, 0, 0, 0, 1458,
6232
6233 0, 1458, 0, 0, 0, 0, 0, 0, 1458, 0,
6234 0, 0, 0, 0, 0, 0, 1458, 0, 0, 0,
6235 0, 0, 1458, 0, 1458, 0, 0, 0, 0, 0,
6236 0, 1458, 1476, 1476, 1476, 1476, 0, 0, 0, 0,
6237 0, 0, 0, 1476, 1476, 1476, 0, 1476, 0, 1476,
6238 1476, 0, 0, 0, 0, 0, 0, 1476, 0, 0,
6239 0, 0, 0, 0, 0, 0, 0, 1476, 0, 0,
6240 0, 0, 0, 0, 1476, 1476, 0, 0, 0, 0,
6241 0, 1476, 0, 0, 0, 0, 0, 0, 0, 0,
6242 1476, 1480, 1480, 1480, 1480, 0, 1480, 0, 0, 0,
6243
6244 0, 0, 1480, 1480, 1480, 1480, 0, 0, 1480, 1480,
6245 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6246 0, 0, 0, 0, 0, 1480, 0, 0, 0, 0,
6247 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6248 0, 0, 0, 0, 0, 0, 0, 0, 1480, 1481,
6249 1481, 1481, 1481, 0, 1481, 0, 0, 0, 0, 0,
6250 1481, 1481, 1481, 1481, 0, 0, 1481, 1481, 0, 0,
6251 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6252 0, 0, 0, 1481, 0, 1481, 0, 0, 0, 0,
6253 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6254
6255 0, 0, 0, 0, 0, 0, 1481, 0, 1481, 1482,
6256 1482, 1482, 1482, 1482, 0, 1482, 1482, 1482, 1482, 1482,
6257 1482, 1482, 1482, 1482, 1482, 1482, 1482, 1482, 1482, 0,
6258 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6259 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6260 0, 1482, 1482, 0, 0, 0, 0, 0, 0, 0,
6261 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6262 0, 0, 0, 0, 1482, 1483, 1483, 1483, 1483, 1483,
6263 0, 1483, 1483, 1483, 1483, 1483, 1483, 1483, 1483, 1483,
6264 1483, 1483, 1483, 1483, 1483, 0, 0, 0, 0, 0,
6265
6266 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6267 0, 0, 0, 0, 0, 0, 0, 1483, 1483, 0,
6268 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6269 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6270 1483, 1484, 1484, 1484, 1484, 1484, 1484, 1484, 1484, 1484,
6271 1484, 1484, 1484, 1484, 1484, 1484, 1484, 1484, 1484, 1484,
6272 1484, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6273 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6274 0, 0, 0, 1484, 1484, 0, 0, 0, 0, 0,
6275 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6276
6277 0, 0, 0, 0, 0, 0, 1484, 1485, 1485, 1485,
6278 1485, 1485, 1485, 1485, 1485, 1485, 1485, 1485, 1485, 1485,
6279 1485, 1485, 1485, 1485, 1485, 1485, 1485, 0, 0, 0,
6280 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6281 0, 0, 0, 0, 0, 0, 0, 0, 0, 1485,
6282 1485, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6283 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6284 0, 0, 1485, 1490, 1490, 1490, 1490, 0, 0, 0,
6285 0, 0, 0, 0, 1490, 1490, 1490, 0, 1490, 0,
6286 1490, 1490, 0, 0, 0, 0, 0, 0, 1490, 0,
6287
6288 0, 0, 0, 0, 0, 0, 0, 0, 1490, 0,
6289 0, 0, 0, 0, 0, 1490, 1490, 0, 0, 0,
6290 0, 0, 1490, 0, 0, 0, 0, 0, 0, 0,
6291 0, 1490, 1491, 1491, 1491, 1491, 1491, 0, 1491, 1491,
6292 1491, 1491, 1491, 1491, 1491, 1491, 1491, 1491, 1491, 1491,
6293 1491, 1491, 0, 0, 0, 0, 0, 0, 0, 0,
6294 0, 0, 0, 0, 0, 0, 0, 1491, 0, 0,
6295 0, 0, 0, 0, 1491, 1491, 0, 0, 0, 0,
6296 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6297 1491, 0, 0, 0, 0, 0, 0, 1491, 1504, 1504,
6298
6299 1504, 1504, 0, 0, 0, 0, 0, 0, 0, 0,
6300 0, 1504, 0, 0, 0, 0, 0, 0, 0, 0,
6301 0, 0, 0, 0, 1504, 0, 0, 0, 0, 0,
6302 1504, 0, 0, 1504, 0, 0, 0, 0, 0, 0,
6303 0, 0, 0, 0, 0, 0, 0, 0, 1504, 0,
6304 0, 0, 0, 1504, 0, 0, 1504, 1508, 0, 0,
6305 1508, 1508, 0, 1508, 1508, 1508, 1508, 1508, 1508, 1508,
6306 1508, 1508, 1508, 1508, 1508, 1508, 1508, 0, 0, 0,
6307 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6308 0, 0, 0, 0, 0, 0, 0, 0, 0, 1508,
6309
6310 1508, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6311 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6312 0, 0, 1508, 1517, 1517, 1517, 1517, 0, 0, 0,
6313 0, 0, 1517, 1517, 0, 0, 0, 1517, 0, 1517,
6314 1517, 0, 0, 0, 1517, 0, 0, 0, 0, 0,
6315 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6316 0, 0, 0, 0, 0, 1517, 0, 0, 1517, 1519,
6317 1519, 1519, 1519, 1519, 0, 1519, 1519, 1519, 1519, 1519,
6318 1519, 1519, 1519, 1519, 1519, 1519, 1519, 1519, 1519, 0,
6319 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6320
6321 0, 0, 0, 0, 1519, 0, 0, 0, 0, 0,
6322 0, 1519, 1519, 0, 0, 0, 0, 0, 0, 0,
6323 0, 0, 0, 0, 0, 0, 0, 1519, 0, 0,
6324 0, 0, 0, 0, 1519, 1520, 1520, 1520, 1520, 1520,
6325 0, 1520, 1520, 1520, 1520, 1520, 1520, 1520, 1520, 1520,
6326 1520, 1520, 1520, 1520, 1520, 0, 0, 0, 0, 0,
6327 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6328 0, 1520, 0, 0, 0, 0, 0, 1520, 1520, 0,
6329 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6330 0, 0, 0, 0, 1520, 0, 0, 0, 0, 0,
6331
6332 1520, 1522, 1522, 1522, 1522, 0, 0, 0, 0, 0,
6333 0, 0, 0, 0, 1522, 0, 0, 0, 1522, 0,
6334 0, 0, 0, 1522, 0, 0, 0, 1522, 0, 0,
6335 0, 0, 0, 0, 0, 0, 1522, 0, 0, 0,
6336 0, 0, 0, 0, 0, 0, 0, 1522, 0, 0,
6337 0, 1522, 0, 0, 0, 0, 0, 0, 0, 1522,
6338 1528, 1528, 1528, 1528, 1528, 1528, 1528, 1528, 1528, 1528,
6339 0, 0, 1528, 1528, 1528, 0, 0, 1528, 0, 1528,
6340 1528, 0, 0, 0, 0, 0, 0, 1528, 0, 0,
6341 0, 0, 0, 0, 0, 0, 1528, 0, 0, 0,
6342
6343 0, 0, 0, 1528, 0, 0, 0, 0, 0, 0,
6344 0, 1528, 0, 0, 0, 0, 0, 0, 0, 1528,
6345 0, 0, 0, 0, 0, 0, 1528, 1529, 1529, 1529,
6346 1529, 1529, 1529, 1529, 1529, 1529, 1529, 0, 0, 1529,
6347 1529, 1529, 0, 0, 1529, 0, 1529, 1529, 0, 0,
6348 0, 0, 1529, 0, 1529, 0, 0, 0, 0, 0,
6349 0, 0, 0, 1529, 0, 0, 0, 0, 0, 0,
6350 1529, 0, 0, 0, 0, 0, 1529, 0, 1529, 0,
6351 0, 0, 0, 0, 0, 0, 1529, 0, 0, 0,
6352 0, 0, 0, 1529, 1535, 1535, 1535, 1535, 1535, 1535,
6353
6354 1535, 1535, 1535, 1535, 0, 0, 1535, 1535, 1535, 0,
6355 0, 1535, 0, 1535, 1535, 0, 0, 0, 0, 1535,
6356 0, 1535, 0, 0, 0, 0, 0, 0, 0, 0,
6357 1535, 0, 0, 0, 0, 0, 0, 1535, 0, 0,
6358 0, 0, 0, 1535, 0, 1535, 0, 0, 0, 0,
6359 0, 0, 0, 1535, 0, 0, 0, 0, 0, 0,
6360 1535, 1536, 1536, 1536, 1536, 1536, 1536, 1536, 1536, 1536,
6361 1536, 0, 0, 1536, 1536, 1536, 0, 0, 1536, 0,
6362 1536, 1536, 0, 0, 0, 0, 0, 0, 1536, 0,
6363 0, 0, 0, 0, 0, 0, 0, 1536, 0, 0,
6364
6365 1536, 0, 0, 0, 1536, 0, 0, 0, 0, 0,
6366 0, 0, 1536, 0, 0, 0, 0, 0, 0, 0,
6367 1536, 0, 0, 1536, 0, 0, 0, 1536, 1550, 1550,
6368 1550, 1550, 0, 1550, 0, 0, 0, 0, 0, 1550,
6369 1550, 1550, 1550, 0, 0, 1550, 1550, 0, 0, 0,
6370 1550, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6371 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6372 0, 0, 0, 0, 1550, 1551, 1551, 1551, 1551, 0,
6373 1551, 0, 0, 0, 0, 0, 1551, 1551, 1551, 1551,
6374 0, 0, 1551, 1551, 0, 0, 0, 0, 0, 0,
6375
6376 0, 0, 0, 0, 0, 0, 0, 0, 0, 1551,
6377 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6378 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6379 0, 0, 1551, 1552, 1552, 1552, 1552, 1552, 1552, 1552,
6380 1552, 1552, 1552, 1552, 1552, 1552, 1552, 1552, 1552, 1552,
6381 1552, 1552, 1552, 0, 0, 0, 0, 0, 0, 0,
6382 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6383 0, 0, 0, 0, 0, 1552, 1552, 0, 0, 0,
6384 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6385 0, 0, 0, 0, 0, 0, 0, 0, 1552, 1555,
6386
6387 1555, 1555, 1555, 1555, 0, 1555, 1555, 1555, 1555, 1555,
6388 1555, 1555, 1555, 1555, 1555, 1555, 1555, 1555, 1555, 0,
6389 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6390 0, 0, 0, 0, 1555, 0, 0, 0, 0, 0,
6391 0, 1555, 1555, 0, 0, 0, 0, 0, 0, 0,
6392 0, 0, 0, 0, 0, 0, 0, 1555, 0, 0,
6393 0, 0, 0, 0, 1555, 1563, 1563, 1563, 1563, 0,
6394 0, 0, 0, 0, 1563, 0, 0, 0, 0, 0,
6395 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6396 0, 1563, 0, 0, 0, 0, 0, 0, 0, 1563,
6397
6398 1563, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6399 0, 0, 0, 0, 0, 1563, 0, 0, 0, 0,
6400 0, 0, 1563, 1563, 1573, 0, 0, 1573, 1573, 0,
6401 1573, 1573, 1573, 1573, 1573, 1573, 1573, 1573, 1573, 1573,
6402 1573, 1573, 1573, 1573, 0, 0, 0, 0, 0, 0,
6403 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6404 0, 0, 0, 0, 0, 0, 1573, 1573, 0, 0,
6405 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6406 0, 0, 0, 0, 0, 0, 0, 0, 0, 1573,
6407 1580, 1580, 1580, 1580, 0, 0, 0, 0, 0, 1580,
6408
6409 1580, 0, 0, 0, 0, 0, 1580, 0, 0, 0,
6410 0, 0, 0, 0, 0, 1580, 0, 0, 0, 0,
6411 0, 0, 0, 0, 1580, 0, 0, 0, 0, 0,
6412 0, 0, 0, 0, 0, 0, 0, 0, 0, 1580,
6413 0, 0, 0, 0, 0, 0, 0, 1580, 1582, 0,
6414 0, 1582, 1582, 0, 1582, 1582, 1582, 1582, 1582, 1582,
6415 1582, 1582, 1582, 1582, 1582, 1582, 1582, 1582, 0, 0,
6416 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6417 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6418 1582, 1582, 0, 0, 0, 0, 0, 0, 0, 0,
6419
6420 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6421 0, 0, 0, 1582, 1586, 0, 0, 1586, 1586, 0,
6422 1586, 1586, 1586, 1586, 1586, 1586, 1586, 1586, 1586, 1586,
6423 1586, 1586, 1586, 1586, 0, 0, 0, 0, 0, 0,
6424 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6425 0, 0, 0, 0, 0, 0, 1586, 1586, 0, 0,
6426 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6427 0, 0, 0, 0, 0, 0, 0, 0, 0, 1586,
6428 1590, 1590, 1590, 1590, 0, 0, 0, 0, 0, 0,
6429 0, 0, 0, 1590, 0, 0, 0, 1590, 0, 0,
6430
6431 0, 0, 0, 0, 0, 0, 1590, 0, 0, 0,
6432 0, 0, 1590, 0, 0, 1590, 0, 0, 0, 0,
6433 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6434 1590, 0, 0, 0, 0, 1590, 0, 0, 1590, 1591,
6435 1591, 1591, 1591, 0, 0, 0, 0, 0, 1591, 0,
6436 0, 0, 1591, 0, 0, 0, 1591, 0, 0, 0,
6437 0, 0, 0, 0, 0, 1591, 0, 0, 0, 0,
6438 0, 0, 0, 1591, 1591, 0, 0, 0, 0, 0,
6439 0, 0, 0, 0, 0, 0, 0, 0, 0, 1591,
6440 0, 0, 0, 0, 0, 0, 1591, 1591, 1634, 0,
6441
6442 0, 1634, 1634, 0, 1634, 1634, 1634, 1634, 1634, 1634,
6443 1634, 1634, 1634, 1634, 1634, 1634, 1634, 1634, 0, 0,
6444 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6445 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6446 1634, 1634, 0, 0, 0, 0, 0, 0, 0, 0,
6447 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6448 0, 0, 0, 1634, 1641, 1641, 1641, 1641, 0, 0,
6449 0, 0, 0, 1641, 1641, 0, 0, 0, 0, 0,
6450 1641, 0, 0, 0, 0, 0, 0, 0, 0, 1641,
6451 0, 0, 0, 0, 0, 0, 0, 0, 1641, 0,
6452
6453 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6454 0, 0, 0, 1641, 0, 0, 0, 0, 0, 0,
6455 0, 1641, 1645, 0, 0, 1645, 1645, 0, 1645, 1645,
6456 1645, 1645, 1645, 1645, 1645, 1645, 1645, 1645, 1645, 1645,
6457 1645, 1645, 0, 0, 0, 0, 0, 0, 0, 0,
6458 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6459 0, 0, 0, 0, 1645, 1645, 0, 0, 0, 0,
6460 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6461 0, 0, 0, 0, 0, 0, 0, 1645, 1654, 1654,
6462 1654, 1654, 0, 0, 0, 0, 0, 1654, 0, 0,
6463
6464 0, 1654, 0, 0, 0, 1654, 0, 0, 0, 0,
6465 0, 0, 0, 0, 1654, 0, 0, 0, 0, 0,
6466 0, 0, 0, 1654, 0, 0, 0, 0, 0, 0,
6467 0, 0, 0, 0, 0, 0, 0, 0, 1654, 0,
6468 0, 0, 0, 0, 0, 0, 1654, 1667, 1667, 1667,
6469 1667, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6470 1667, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6471 0, 0, 0, 1667, 0, 0, 0, 0, 0, 1667,
6472 0, 0, 1667, 0, 0, 0, 0, 0, 0, 0,
6473 0, 0, 0, 0, 0, 0, 0, 1667, 0, 0,
6474
6475 0, 0, 1667, 0, 0, 1667, 1668, 1668, 1668, 1668,
6476 0, 0, 0, 0, 0, 0, 0, 0, 0, 1668,
6477 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6478 0, 0, 1668, 0, 0, 0, 0, 0, 1668, 0,
6479 0, 1668, 0, 0, 0, 0, 0, 0, 0, 0,
6480 0, 0, 0, 0, 0, 0, 1668, 0, 0, 0,
6481 0, 1668, 0, 0, 1668, 1728, 1728, 1728, 1728, 0,
6482 0, 0, 0, 0, 1728, 1728, 0, 0, 0, 1728,
6483 0, 1728, 0, 0, 0, 0, 0, 0, 0, 0,
6484 0, 0, 0, 0, 0, 0, 0, 0, 0, 1728,
6485
6486 0, 0, 0, 0, 0, 0, 0, 1728, 0, 0,
6487 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6488 0, 0, 1728, 1753, 0, 0, 1753, 1753, 0, 1753,
6489 1753, 1753, 1753, 1753, 1753, 1753, 1753, 1753, 1753, 1753,
6490 1753, 1753, 1753, 0, 0, 0, 0, 0, 0, 0,
6491 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6492 0, 0, 0, 0, 0, 1753, 1753, 0, 0, 0,
6493 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6494 0, 0, 0, 0, 0, 0, 0, 0, 1753, 1754,
6495 1754, 1754, 1754, 1754, 0, 1754, 1754, 1754, 1754, 1754,
6496
6497 1754, 1754, 1754, 1754, 1754, 1754, 1754, 1754, 1754, 0,
6498 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6499 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6500 0, 1754, 1754, 0, 0, 0, 0, 0, 0, 0,
6501 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6502 0, 0, 0, 0, 1754, 1782, 0, 0, 1782, 1782,
6503 0, 1782, 1782, 1782, 1782, 1782, 1782, 1782, 1782, 1782,
6504 1782, 1782, 1782, 1782, 1782, 0, 0, 0, 0, 0,
6505 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6506 0, 0, 0, 0, 0, 0, 0, 1782, 1782, 0,
6507
6508 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6509 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6510 1782, 1785, 1785, 1785, 1785, 1785, 0, 1785, 1785, 1785,
6511 1785, 1785, 1785, 1785, 1785, 1785, 1785, 1785, 1785, 1785,
6512 1785, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6513 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6514 0, 0, 0, 1785, 1785, 0, 0, 0, 0, 0,
6515 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6516 0, 0, 0, 0, 0, 0, 1785, 1786, 1786, 1786,
6517 1786, 1786, 0, 1786, 1786, 1786, 1786, 1786, 1786, 1786,
6518
6519 1786, 1786, 1786, 1786, 1786, 1786, 1786, 0, 0, 0,
6520 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6521 0, 0, 0, 0, 0, 0, 0, 0, 0, 1786,
6522 1786, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6523 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6524 0, 0, 1786, 1787, 1787, 1787, 1787, 1787, 0, 1787,
6525 1787, 1787, 1787, 1787, 1787, 1787, 1787, 1787, 1787, 1787,
6526 1787, 1787, 1787, 0, 0, 0, 0, 0, 0, 0,
6527 0, 0, 0, 0, 0, 0, 0, 1787, 0, 0,
6528 0, 0, 0, 0, 0, 1787, 1787, 0, 0, 0,
6529
6530 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6531 1787, 0, 0, 0, 0, 0, 0, 0, 1787, 1794,
6532 0, 0, 1794, 1794, 0, 1794, 1794, 1794, 1794, 1794,
6533 1794, 1794, 1794, 1794, 1794, 1794, 1794, 1794, 1794, 0,
6534 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6535 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6536 0, 1794, 1794, 0, 0, 0, 0, 0, 0, 0,
6537 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6538 0, 0, 0, 0, 1794, 1814, 1814, 1814, 1814, 1814,
6539 0, 1814, 1814, 1814, 1814, 1814, 1814, 1814, 1814, 1814,
6540
6541 1814, 1814, 1814, 1814, 1814, 0, 0, 0, 0, 0,
6542 0, 0, 0, 0, 0, 0, 0, 0, 0, 1814,
6543 0, 0, 0, 0, 0, 0, 0, 1814, 1814, 0,
6544 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6545 0, 0, 1814, 0, 0, 0, 0, 0, 0, 0,
6546 1814, 1822, 1822, 1822, 1822, 0, 0, 0, 0, 0,
6547 1822, 1822, 0, 0, 0, 1822, 0, 1822, 0, 0,
6548 0, 0, 0, 0, 0, 0, 0, 0, 0, 1822,
6549 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6550 0, 0, 0, 1822, 0, 0, 0, 0, 0, 0,
6551
6552 0, 0, 0, 1822, 1824, 0, 0, 1824, 1824, 0,
6553 1824, 1824, 1824, 1824, 1824, 1824, 1824, 1824, 1824, 1824,
6554 1824, 1824, 1824, 1824, 0, 0, 0, 0, 0, 0,
6555 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6556 0, 0, 0, 0, 0, 0, 1824, 1824, 0, 0,
6557 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6558 0, 0, 0, 0, 0, 0, 0, 0, 0, 1824,
6559 1848, 1848, 1848, 1848, 1848, 0, 1848, 1848, 1848, 1848,
6560 1848, 1848, 1848, 1848, 1848, 1848, 1848, 1848, 1848, 1848,
6561 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6562
6563 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6564 0, 0, 1848, 1848, 0, 0, 0, 0, 0, 0,
6565 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6566 0, 0, 0, 0, 0, 1848, 1853, 1853, 1853, 1853,
6567 1853, 0, 1853, 1853, 1853, 1853, 1853, 1853, 1853, 1853,
6568 1853, 1853, 1853, 1853, 1853, 1853, 0, 0, 0, 0,
6569 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6570 0, 0, 0, 0, 0, 0, 0, 0, 1853, 1853,
6571 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6572 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6573
6574 0, 1853, 1854, 1854, 1854, 1854, 1854, 0, 1854, 1854,
6575 1854, 1854, 1854, 1854, 1854, 1854, 1854, 1854, 1854, 1854,
6576 1854, 1854, 0, 0, 0, 0, 0, 0, 0, 0,
6577 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6578 0, 0, 0, 0, 1854, 1854, 0, 0, 0, 0,
6579 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
6580 0, 0, 0, 0, 0, 0, 0, 1854, 1861, 1861,
6581 1861, 1861, 1861, 1861, 1861, 1861, 1861, 1861, 1861, 1861,
6582 1861, 1861, 1861, 1861, 1861, 1861, 1861, 1861, 1861, 1861,
6583 1861, 1862, 1862, 1862, 1862, 1862, 1862, 1862, 1862, 1862,
6584
6585 1862, 1862, 1862, 1862, 1862, 1862, 1862, 1862, 1862, 1862,
6586 1862, 1862, 1862, 1862, 1863, 1863, 1863, 1863, 1863, 1863,
6587 1863, 1863, 1863, 1863, 1863, 1863, 1863, 1863, 1863, 1863,
6588 1863, 1863, 1863, 1863, 1863, 1863, 1863, 1864, 1864, 1864,
6589 1864, 1864, 1864, 1864, 1864, 1864, 1864, 1864, 1864, 1864,
6590 1864, 1864, 1864, 1864, 1864, 1864, 1864, 1864, 1864, 1864,
6591 1865, 1865, 1865, 1865, 1865, 1865, 1865, 1865, 1865, 1865,
6592 1865, 1865, 1865, 1865, 1865, 1865, 1865, 1865, 1865, 1865,
6593 1865, 1865, 1865, 1866, 1866, 1866, 1866, 1866, 1866, 1866,
6594 1866, 1866, 1866, 1866, 1866, 1866, 1866, 1866, 1866, 1866,
6595
6596 1866, 1866, 1866, 1866, 1866, 1866, 1867, 1867, 1867, 1867,
6597 1867, 1867, 1867, 1867, 1867, 1867, 1867, 1867, 1867, 1867,
6598 1867, 1867, 1867, 1867, 1867, 1867, 1867, 1867, 1867, 1868,
6599 1868, 1868, 1868, 1868, 1868, 1868, 1868, 1868, 1868, 1868,
6600 1868, 1868, 1868, 1868, 1868, 1868, 1868, 1868, 1868, 1868,
6601 1868, 1868, 1869, 1869, 1869, 1869, 1869, 1869, 1869, 1869,
6602 1869, 1869, 1869, 1869, 1869, 1869, 1869, 1869, 1869, 1869,
6603 1869, 1869, 1869, 1869, 1869, 1870, 1870, 1870, 1870, 1870,
6604 1870, 1870, 1870, 1870, 1870, 1870, 1870, 1870, 1870, 1870,
6605 1870, 1870, 1870, 1870, 1870, 1870, 1870, 1870, 1871, 1871,
6606
6607 1871, 1871, 1871, 1871, 1871, 1871, 1871, 1871, 1871, 1871,
6608 1871, 1871, 1871, 1871, 1871, 1871, 1871, 1871, 1871, 1871,
6609 1871, 1872, 0, 0, 1872, 1872, 1872, 1872, 1872, 1872,
6610 1872, 1872, 1872, 1872, 1872, 1872, 1872, 1872, 1872, 1872,
6611 1872, 1872, 1872, 1873, 0, 0, 0, 0, 1873, 1873,
6612 0, 0, 0, 0, 1873, 1873, 0, 1873, 1874, 0,
6613 0, 1874, 1874, 0, 1874, 1874, 1874, 1874, 1874, 1874,
6614 1874, 1874, 1874, 1874, 1874, 1874, 1874, 1874, 1874, 1874,
6615 1875, 1875, 1875, 1875, 0, 1875, 0, 1875, 0, 0,
6616 1875, 1875, 1875, 1875, 0, 0, 0, 1875, 1875, 1875,
6617
6618 1875, 1876, 0, 0, 1876, 1876, 1876, 1876, 1876, 1876,
6619 1876, 1876, 1876, 1876, 1876, 1876, 1876, 1876, 1876, 1876,
6620 1876, 1876, 1876, 1877, 1877, 1877, 1877, 0, 0, 0,
6621 1877, 0, 0, 0, 1877, 1877, 1877, 0, 0, 0,
6622 1877, 1877, 0, 1877, 1878, 1878, 1878, 1878, 0, 0,
6623 1878, 1878, 0, 0, 0, 1878, 1878, 1878, 0, 0,
6624 0, 1878, 1878, 0, 1878, 1879, 1879, 1879, 1879, 0,
6625 0, 0, 1879, 0, 0, 1879, 1879, 1879, 0, 0,
6626 0, 0, 1879, 1879, 0, 1879, 1880, 1880, 1880, 1880,
6627 0, 1880, 0, 1880, 1880, 1880, 1880, 1880, 1880, 1880,
6628
6629 0, 1880, 0, 1880, 1880, 0, 1880, 1881, 0, 0,
6630 1881, 1881, 0, 1881, 1881, 1881, 1881, 1881, 1881, 1881,
6631 1881, 1881, 1881, 1881, 1881, 1881, 1881, 1881, 1881, 1882,
6632 1882, 1882, 1882, 0, 0, 1882, 0, 0, 0, 0,
6633 1882, 1882, 0, 0, 0, 0, 1882, 1882, 0, 1882,
6634 1883, 0, 0, 1883, 1883, 0, 1883, 1883, 1883, 1883,
6635 1883, 1883, 1883, 1883, 1883, 1883, 1883, 1883, 1883, 1883,
6636 1883, 1883, 1884, 1884, 0, 1884, 1884, 1884, 1884, 1884,
6637 1884, 1884, 1884, 1884, 1884, 1884, 1884, 1884, 1884, 1884,
6638 1884, 1884, 1884, 1884, 1884, 1885, 1885, 1885, 1885, 0,
6639
6640 0, 0, 0, 0, 0, 1885, 0, 0, 0, 0,
6641 0, 0, 1885, 1885, 0, 1885, 1886, 1886, 0, 1886,
6642 1886, 1886, 1886, 1886, 1886, 1886, 1886, 1886, 1886, 1886,
6643 1886, 0, 1886, 1886, 1886, 1886, 1886, 1886, 1886, 1887,
6644 0, 0, 0, 0, 1887, 1887, 0, 0, 0, 0,
6645 1887, 1887, 0, 1887, 1888, 0, 0, 1888, 1888, 0,
6646 1888, 1888, 1888, 1888, 1888, 1888, 1888, 1888, 1888, 1888,
6647 1888, 1888, 1888, 1888, 1888, 1888, 1889, 0, 0, 0,
6648 0, 1889, 1889, 0, 0, 0, 0, 1889, 1889, 0,
6649 1889, 1890, 0, 0, 1890, 1890, 0, 1890, 1890, 1890,
6650
6651 1890, 1890, 1890, 1890, 1890, 1890, 1890, 1890, 1890, 1890,
6652 1890, 1890, 1890, 1891, 1891, 1891, 1891, 1891, 1891, 1891,
6653 1891, 1891, 1891, 1891, 1891, 1891, 1891, 1891, 1891, 1891,
6654 1891, 1891, 1891, 1891, 1891, 1891, 1892, 0, 0, 1892,
6655 1892, 1892, 1892, 1892, 1892, 1892, 1892, 1892, 1892, 1892,
6656 1892, 1892, 1892, 1892, 1892, 1892, 1892, 1892, 1893, 0,
6657 0, 1893, 1893, 1893, 1893, 1893, 0, 0, 1893, 0,
6658 1893, 1893, 0, 1893, 1894, 0, 0, 1894, 1894, 0,
6659 1894, 1894, 1894, 1894, 1894, 1894, 1894, 1894, 1894, 1894,
6660 1894, 1894, 1894, 1894, 1894, 1894, 1895, 1895, 0, 1895,
6661
6662 1895, 1895, 1895, 1895, 1895, 1895, 1895, 1895, 1895, 1895,
6663 1895, 1895, 1895, 1895, 1895, 1895, 1895, 1895, 1895, 1896,
6664 0, 1896, 1896, 0, 0, 0, 0, 1896, 0, 0,
6665 1896, 1897, 0, 0, 1897, 0, 1897, 0, 0, 1897,
6666 1897, 1897, 0, 1897, 1897, 0, 1897, 0, 1897, 1897,
6667 0, 1897, 1898, 1898, 1898, 1898, 0, 0, 0, 1898,
6668 0, 0, 0, 1898, 1898, 1898, 0, 0, 0, 1898,
6669 1898, 0, 1898, 1899, 1899, 1899, 1899, 0, 0, 0,
6670 1899, 0, 0, 1899, 1899, 1899, 0, 0, 0, 0,
6671 1899, 1899, 0, 1899, 1900, 1900, 1900, 1900, 0, 1900,
6672
6673 0, 1900, 1900, 1900, 1900, 1900, 1900, 1900, 0, 1900,
6674 0, 1900, 1900, 0, 1900, 1901, 0, 0, 1901, 1901,
6675 0, 1901, 1901, 1901, 1901, 1901, 1901, 1901, 1901, 1901,
6676 1901, 1901, 1901, 1901, 1901, 1901, 1901, 1902, 0, 0,
6677 1902, 1902, 1902, 1902, 1902, 1902, 1902, 1902, 1902, 1902,
6678 1902, 1902, 1902, 1902, 1902, 1902, 1902, 1902, 1902, 1903,
6679 1903, 1903, 1903, 0, 0, 0, 1903, 0, 0, 0,
6680 1903, 1903, 1903, 0, 0, 0, 1903, 1903, 0, 1903,
6681 1904, 1904, 1904, 1904, 0, 1904, 0, 1904, 1904, 1904,
6682 1904, 1904, 1904, 1904, 0, 1904, 0, 1904, 1904, 0,
6683
6684 1904, 1905, 0, 0, 1905, 1905, 0, 1905, 1905, 1905,
6685 1905, 1905, 1905, 1905, 1905, 1905, 1905, 1905, 1905, 1905,
6686 1905, 1905, 1905, 1906, 1906, 1906, 1906, 0, 0, 0,
6687 0, 0, 0, 0, 1906, 1906, 0, 0, 0, 0,
6688 1906, 1906, 0, 1906, 1907, 0, 0, 1907, 1907, 0,
6689 1907, 1907, 1907, 1907, 1907, 1907, 1907, 1907, 1907, 1907,
6690 1907, 1907, 1907, 1907, 1907, 1907, 1908, 1908, 0, 1908,
6691 1908, 1908, 1908, 1908, 1908, 1908, 1908, 1908, 1908, 1908,
6692 1908, 1908, 1908, 1908, 1908, 1908, 1908, 1908, 1908, 1909,
6693 1909, 0, 1909, 1909, 1909, 1909, 1909, 1909, 1909, 1909,
6694
6695 1909, 1909, 1909, 1909, 1909, 1909, 1909, 1909, 1909, 1909,
6696 1909, 1909, 1910, 1910, 1910, 1910, 0, 0, 0, 0,
6697 0, 0, 1910, 0, 0, 0, 0, 0, 0, 1910,
6698 1910, 0, 1910, 1911, 1911, 0, 1911, 1911, 1911, 1911,
6699 1911, 1911, 1911, 1911, 1911, 1911, 1911, 1911, 0, 1911,
6700 1911, 1911, 1911, 1911, 1911, 1911, 1912, 1912, 0, 1912,
6701 1912, 1912, 1912, 1912, 1912, 1912, 1912, 1912, 1912, 1912,
6702 1912, 0, 1912, 1912, 1912, 1912, 1912, 1912, 1912, 1913,
6703 1913, 0, 0, 0, 0, 1913, 1913, 0, 1913, 1914,
6704 0, 0, 1914, 1914, 0, 1914, 1914, 1914, 1914, 1914,
6705
6706 1914, 1914, 1914, 1914, 1914, 1914, 1914, 1914, 1914, 1914,
6707 1914, 1915, 1915, 0, 0, 0, 0, 1915, 1915, 0,
6708 1915, 1916, 0, 0, 1916, 1916, 0, 1916, 1916, 1916,
6709 1916, 1916, 1916, 1916, 1916, 1916, 1916, 1916, 1916, 1916,
6710 1916, 1916, 1916, 1917, 1917, 1917, 1917, 1917, 1917, 1917,
6711 1917, 1917, 1917, 1917, 1917, 1917, 1917, 1917, 1917, 1917,
6712 1917, 1917, 1917, 1917, 1917, 1917, 1918, 1918, 1918, 1918,
6713 1918, 1918, 1918, 1918, 1918, 1918, 1918, 1918, 1918, 1918,
6714 1918, 1918, 1918, 1918, 1918, 1918, 1918, 1918, 1918, 1919,
6715 0, 0, 1919, 1919, 1919, 0, 1919, 0, 0, 1919,
6716
6717 0, 1919, 1919, 0, 1919, 1920, 0, 0, 1920, 1920,
6718 1920, 1920, 1920, 1920, 1920, 1920, 1920, 1920, 1920, 1920,
6719 1920, 1920, 1920, 1920, 1920, 1920, 1920, 1921, 0, 0,
6720 1921, 1921, 1921, 1921, 1921, 0, 0, 1921, 0, 1921,
6721 1921, 0, 1921, 1922, 0, 0, 1922, 1922, 0, 1922,
6722 1922, 1922, 1922, 1922, 1922, 1922, 1922, 1922, 1922, 1922,
6723 1922, 1922, 1922, 1922, 1922, 1923, 1923, 0, 1923, 1923,
6724 1923, 1923, 1923, 1923, 1923, 1923, 1923, 1923, 1923, 1923,
6725 1923, 1923, 1923, 1923, 1923, 1923, 1923, 1923, 1924, 0,
6726 0, 1924, 0, 1924, 1924, 0, 0, 0, 0, 1924,
6727
6728 0, 0, 1924, 1925, 1925, 1925, 1925, 0, 1925, 0,
6729 1925, 1925, 1925, 1925, 1925, 1925, 1925, 0, 1925, 0,
6730 1925, 1925, 0, 1925, 1926, 0, 0, 1926, 1926, 0,
6731 1926, 1926, 1926, 1926, 1926, 1926, 1926, 1926, 1926, 1926,
6732 1926, 1926, 1926, 1926, 1926, 1926, 1927, 1927, 1927, 1927,
6733 1927, 1927, 1927, 1927, 1927, 1927, 1927, 1927, 1927, 1927,
6734 1927, 1927, 1927, 1927, 1927, 1927, 1927, 1927, 1928, 1928,
6735 1928, 1928, 0, 0, 0, 1928, 0, 0, 0, 1928,
6736 1928, 1928, 0, 0, 0, 1928, 1928, 0, 1928, 1929,
6737 1929, 1929, 1929, 0, 0, 0, 1929, 0, 0, 1929,
6738
6739 1929, 1929, 0, 0, 0, 0, 1929, 1929, 0, 1929,
6740 1930, 1930, 1930, 1930, 1930, 1930, 1930, 0, 1930, 0,
6741 1930, 1930, 1930, 1930, 1930, 1930, 1930, 0, 1930, 1930,
6742 1930, 1930, 1930, 1931, 1931, 1931, 1931, 0, 1931, 0,
6743 1931, 1931, 1931, 1931, 1931, 1931, 1931, 0, 1931, 0,
6744 1931, 1931, 0, 1931, 1932, 0, 0, 1932, 1932, 0,
6745 1932, 1932, 1932, 1932, 1932, 1932, 1932, 1932, 1932, 1932,
6746 1932, 1932, 1932, 1932, 1932, 1932, 1933, 1933, 0, 1933,
6747 1933, 1933, 1933, 1933, 1933, 1933, 1933, 1933, 1933, 1933,
6748 1933, 1933, 1933, 1933, 1933, 1933, 1933, 1933, 1933, 1934,
6749
6750 1934, 1934, 1934, 0, 0, 0, 0, 0, 0, 0,
6751 1934, 1934, 0, 0, 0, 0, 1934, 1934, 0, 1934,
6752 1935, 1935, 1935, 1935, 1935, 0, 1935, 1935, 1935, 1935,
6753 1935, 1935, 1935, 1935, 1935, 1935, 1935, 1935, 1935, 1935,
6754 1935, 1935, 1936, 1936, 1936, 1936, 0, 1936, 0, 0,
6755 0, 0, 0, 1936, 1936, 0, 1936, 0, 0, 1936,
6756 1936, 1936, 1936, 1937, 1937, 0, 1937, 1937, 1937, 1937,
6757 1937, 1937, 1937, 1937, 1937, 1937, 1937, 1937, 1937, 1937,
6758 1937, 1937, 1937, 1937, 1937, 1937, 1938, 1938, 0, 1938,
6759 1938, 1938, 1938, 1938, 1938, 1938, 1938, 1938, 1938, 1938,
6760
6761 1938, 1938, 1938, 1938, 1938, 1938, 1938, 1938, 1938, 1939,
6762 1939, 0, 1939, 1939, 1939, 1939, 1939, 1939, 1939, 1939,
6763 1939, 1939, 1939, 1939, 0, 1939, 1939, 1939, 1939, 1939,
6764 1939, 1939, 1940, 1940, 0, 1940, 1940, 1940, 1940, 1940,
6765 1940, 1940, 1940, 1940, 1940, 1940, 1940, 0, 1940, 1940,
6766 1940, 1940, 1940, 1940, 1940, 1941, 1941, 1941, 1941, 0,
6767 1941, 0, 0, 0, 0, 0, 1941, 1941, 0, 1941,
6768 0, 0, 1941, 1941, 1941, 1941, 1942, 1942, 0, 0,
6769 0, 0, 1942, 1942, 0, 1942, 1943, 1943, 0, 0,
6770 0, 0, 1943, 1943, 0, 1943, 1944, 1944, 1944, 1944,
6771
6772 1944, 1944, 1944, 1944, 1944, 1944, 1944, 1944, 1944, 1944,
6773 1944, 1944, 1944, 1944, 1944, 1944, 1944, 1944, 1944, 1945,
6774 0, 1945, 0, 0, 1945, 1945, 1945, 1945, 1945, 0,
6775 0, 1945, 0, 1945, 1945, 0, 1945, 1946, 1946, 0,
6776 1946, 1946, 1946, 1946, 1946, 1946, 1946, 1946, 1946, 1946,
6777 1946, 1946, 1946, 1946, 1946, 1946, 1946, 1946, 1946, 1947,
6778 1947, 1947, 1947, 1947, 1947, 1947, 1947, 1947, 1947, 1947,
6779 1947, 1947, 1947, 1947, 1947, 1947, 1947, 1947, 1947, 1947,
6780 1947, 1947, 1948, 1948, 0, 1948, 1948, 1948, 1948, 1948,
6781 1948, 1948, 1948, 1948, 1948, 1948, 1948, 1948, 1948, 1948,
6782
6783 1948, 1948, 1948, 1948, 1948, 1949, 1949, 1949, 1949, 1949,
6784 1949, 1949, 1949, 1949, 1949, 1949, 1949, 1949, 1949, 1949,
6785 0, 1949, 1949, 1949, 1949, 1949, 1949, 1949, 1950, 0,
6786 0, 1950, 1950, 0, 1950, 1950, 1950, 1950, 1950, 1950,
6787 1950, 1950, 1950, 1950, 1950, 1950, 1950, 1950, 1950, 1950,
6788 1951, 0, 0, 1951, 1951, 1951, 1951, 1951, 1951, 1951,
6789 1951, 1951, 1951, 1951, 1951, 1951, 1951, 1951, 1951, 1951,
6790 1951, 1951, 1952, 1952, 1952, 1952, 1952, 1952, 1952, 1952,
6791 1952, 1952, 1952, 1952, 1952, 1952, 1952, 1952, 1952, 1952,
6792 1952, 1952, 1952, 1952, 1952, 1953, 1953, 1953, 1953, 0,
6793
6794 0, 0, 1953, 0, 0, 1953, 1953, 1953, 0, 0,
6795 0, 0, 1953, 1953, 0, 1953, 1954, 1954, 1954, 1954,
6796 0, 0, 0, 1954, 0, 0, 0, 1954, 1954, 1954,
6797 0, 0, 0, 1954, 1954, 0, 1954, 1955, 1955, 1955,
6798 1955, 1955, 0, 1955, 1955, 1955, 1955, 1955, 1955, 1955,
6799 1955, 1955, 1955, 1955, 1955, 1955, 1955, 1955, 1955, 1956,
6800 1956, 1956, 1956, 1956, 1956, 1956, 1956, 1956, 1956, 1956,
6801 1956, 1956, 1956, 1956, 0, 1956, 1956, 1956, 1956, 1956,
6802 1956, 1956, 1957, 1957, 0, 1957, 1957, 1957, 1957, 1957,
6803 1957, 1957, 1957, 1957, 1957, 1957, 1957, 1957, 1957, 1957,
6804
6805 1957, 1957, 1957, 1957, 1957, 1958, 1958, 1958, 1958, 1958,
6806 1958, 1958, 1958, 1958, 1958, 1958, 1958, 1958, 1958, 1958,
6807 1958, 1958, 1958, 1958, 1958, 1958, 1958, 1958, 1959, 1959,
6808 0, 1959, 1959, 1959, 1959, 1959, 1959, 1959, 1959, 1959,
6809 1959, 1959, 1959, 1959, 1959, 1959, 1959, 1959, 1959, 1959,
6810 1959, 1960, 1960, 1960, 1960, 0, 1960, 0, 0, 0,
6811 0, 0, 0, 0, 0, 1960, 0, 0, 1960, 1960,
6812 1960, 1961, 1961, 1961, 1961, 0, 1961, 0, 0, 1961,
6813 1961, 1961, 0, 1961, 0, 1961, 1961, 0, 1961, 1961,
6814 0, 1961, 1962, 1962, 1962, 1962, 0, 1962, 0, 0,
6815
6816 1962, 1962, 1962, 1962, 1962, 0, 1962, 1962, 0, 1962,
6817 1962, 0, 1962, 1963, 0, 0, 1963, 1963, 0, 1963,
6818 1963, 1963, 1963, 1963, 1963, 1963, 1963, 1963, 1963, 1963,
6819 1963, 1963, 1963, 1963, 1963, 1964, 1964, 0, 0, 0,
6820 0, 1964, 1964, 0, 1964, 1965, 1965, 0, 1965, 1965,
6821 1965, 1965, 1965, 1965, 1965, 1965, 1965, 1965, 1965, 1965,
6822 1965, 1965, 1965, 1965, 1965, 1965, 1965, 1965, 1966, 1966,
6823 0, 1966, 1966, 1966, 1966, 1966, 1966, 1966, 1966, 1966,
6824 1966, 1966, 1966, 1966, 1966, 1966, 1966, 1966, 1966, 1966,
6825 1966, 1967, 1967, 0, 1967, 1967, 1967, 1967, 1967, 1967,
6826
6827 1967, 1967, 1967, 1967, 1967, 1967, 1967, 1967, 1967, 1967,
6828 1967, 1967, 1967, 1967, 1968, 1968, 1968, 1968, 1968, 1968,
6829 1968, 1968, 1968, 1968, 1968, 1968, 1968, 1968, 1968, 1968,
6830 1968, 1968, 1968, 1968, 1968, 1968, 1968, 1969, 1969, 0,
6831 1969, 1969, 1969, 1969, 1969, 1969, 1969, 1969, 1969, 1969,
6832 1969, 1969, 0, 1969, 1969, 1969, 1969, 1969, 1969, 1969,
6833 1970, 1970, 1970, 1970, 1970, 1970, 1970, 1970, 1970, 1970,
6834 1970, 1970, 1970, 1970, 1970, 0, 1970, 1970, 1970, 1970,
6835 1970, 1970, 1970, 1971, 1971, 1971, 1971, 0, 1971, 0,
6836 0, 0, 0, 0, 0, 0, 0, 1971, 0, 0,
6837
6838 1971, 1971, 1971, 1972, 1972, 1972, 1972, 0, 1972, 0,
6839 0, 1972, 1972, 1972, 0, 1972, 0, 1972, 1972, 0,
6840 1972, 1972, 0, 1972, 1973, 1973, 1973, 1973, 0, 1973,
6841 0, 0, 1973, 1973, 1973, 1973, 1973, 0, 1973, 1973,
6842 0, 1973, 1973, 0, 1973, 1974, 0, 0, 1974, 1974,
6843 0, 1974, 1974, 1974, 1974, 1974, 1974, 1974, 1974, 1974,
6844 1974, 1974, 1974, 1974, 1974, 1974, 1974, 1975, 1975, 0,
6845 1975, 1975, 1975, 1975, 1975, 1975, 1975, 1975, 1975, 1975,
6846 1975, 1975, 1975, 1975, 1975, 1975, 1975, 1975, 1975, 1975,
6847 1976, 1976, 1976, 1976, 1976, 1976, 1976, 1976, 1976, 1976,
6848
6849 1976, 1976, 1976, 1976, 1976, 1976, 1976, 1976, 1976, 1976,
6850 1976, 1976, 1976, 1977, 1977, 1977, 1977, 1977, 1977, 1977,
6851 1977, 1977, 1977, 1977, 1977, 1977, 1977, 1977, 1977, 1977,
6852 1977, 1977, 1977, 1977, 1977, 1977, 1978, 1978, 1978, 1978,
6853 1978, 1978, 1978, 1978, 1978, 1978, 1978, 1978, 1978, 1978,
6854 1978, 1978, 1978, 1978, 1978, 1978, 1978, 1978, 1978, 1979,
6855 1979, 1979, 1979, 0, 1979, 0, 1979, 1979, 1979, 1979,
6856 1979, 1979, 1979, 0, 1979, 0, 1979, 1979, 0, 1979,
6857 1980, 1980, 1980, 1980, 1980, 0, 1980, 1980, 1980, 1980,
6858 1980, 1980, 1980, 1980, 1980, 1980, 1980, 1980, 1980, 1980,
6859
6860 1980, 1980, 1981, 0, 0, 1981, 1981, 1981, 1981, 1981,
6861 1981, 1981, 1981, 1981, 1981, 1981, 1981, 1981, 1981, 1981,
6862 1981, 1981, 1981, 1981, 1982, 1982, 1982, 1982, 1982, 1982,
6863 1982, 1982, 1982, 1982, 1982, 1982, 1982, 1982, 1982, 1982,
6864 1982, 1982, 1982, 1982, 1982, 1982, 1982, 1983, 1983, 1983,
6865 1983, 0, 0, 0, 1983, 0, 0, 1983, 1983, 1983,
6866 0, 0, 0, 0, 1983, 1983, 0, 1983, 1984, 1984,
6867 1984, 1984, 0, 1984, 0, 1984, 1984, 1984, 1984, 1984,
6868 1984, 1984, 0, 1984, 0, 1984, 1984, 0, 1984, 1985,
6869 1985, 1985, 1985, 0, 0, 0, 1985, 0, 0, 0,
6870
6871 1985, 1985, 1985, 0, 0, 0, 1985, 1985, 0, 1985,
6872 1986, 0, 0, 1986, 1986, 0, 1986, 1986, 1986, 1986,
6873 1986, 1986, 1986, 1986, 1986, 1986, 1986, 1986, 1986, 1986,
6874 1986, 1986, 1987, 1987, 1987, 1987, 1987, 1987, 1987, 1987,
6875 1987, 1987, 1987, 1987, 1987, 1987, 1987, 0, 1987, 1987,
6876 1987, 1987, 1987, 1987, 1987, 1988, 1988, 1988, 1988, 1988,
6877 1988, 1988, 1988, 1988, 1988, 1988, 1988, 1988, 1988, 1988,
6878 0, 1988, 1988, 1988, 1988, 1988, 1988, 1988, 1989, 1989,
6879 1989, 1989, 1989, 1989, 1989, 1989, 1989, 1989, 1989, 1989,
6880 1989, 1989, 1989, 1989, 1989, 1989, 1989, 1989, 1989, 1989,
6881
6882 1989, 1990, 1990, 0, 1990, 1990, 1990, 1990, 1990, 1990,
6883 1990, 1990, 1990, 1990, 1990, 1990, 1990, 1990, 1990, 1990,
6884 1990, 1990, 1990, 1990, 1991, 1991, 1991, 1991, 1991, 1991,
6885 1991, 1991, 1991, 1991, 1991, 1991, 1991, 1991, 1991, 1991,
6886 1991, 1991, 1991, 1991, 1991, 1991, 1991, 1992, 1992, 1992,
6887 1992, 0, 1992, 0, 0, 0, 0, 0, 0, 0,
6888 0, 1992, 0, 0, 1992, 1992, 1992, 1993, 1993, 1993,
6889 1993, 0, 1993, 0, 0, 1993, 1993, 1993, 0, 1993,
6890 0, 1993, 1993, 0, 1993, 1993, 0, 1993, 1994, 1994,
6891 1994, 1994, 0, 1994, 0, 0, 1994, 1994, 1994, 1994,
6892
6893 1994, 0, 1994, 1994, 0, 1994, 1994, 0, 1994, 1995,
6894 0, 0, 1995, 1995, 0, 1995, 1995, 1995, 1995, 1995,
6895 1995, 1995, 1995, 1995, 1995, 1995, 1995, 1995, 1995, 1995,
6896 1995, 1996, 1996, 0, 0, 0, 0, 1996, 1996, 0,
6897 1996, 1997, 1997, 0, 1997, 1997, 1997, 1997, 1997, 1997,
6898 1997, 1997, 1997, 1997, 1997, 1997, 1997, 1997, 1997, 1997,
6899 1997, 1997, 1997, 1997, 1998, 1998, 0, 1998, 1998, 1998,
6900 1998, 1998, 1998, 1998, 1998, 1998, 1998, 1998, 1998, 1998,
6901 1998, 1998, 1998, 1998, 1998, 1998, 1998, 1999, 1999, 0,
6902 1999, 1999, 1999, 1999, 1999, 1999, 1999, 1999, 1999, 1999,
6903
6904 1999, 1999, 1999, 1999, 1999, 1999, 1999, 1999, 1999, 1999,
6905 2000, 2000, 2000, 2000, 2000, 2000, 2000, 2000, 2000, 2000,
6906 2000, 2000, 2000, 2000, 2000, 2000, 2000, 2000, 2000, 2000,
6907 2000, 2000, 2000, 2001, 2001, 2001, 2001, 2001, 2001, 2001,
6908 2001, 2001, 2001, 2001, 2001, 2001, 2001, 2001, 0, 2001,
6909 2001, 2001, 2001, 2001, 2001, 2001, 2002, 2002, 2002, 2002,
6910 0, 2002, 0, 0, 2002, 2002, 2002, 0, 2002, 0,
6911 2002, 2002, 0, 2002, 2002, 0, 2002, 2003, 2003, 2003,
6912 2003, 0, 2003, 0, 0, 2003, 2003, 2003, 2003, 2003,
6913 0, 2003, 2003, 0, 2003, 2003, 0, 2003, 2004, 0,
6914
6915 0, 2004, 2004, 0, 2004, 2004, 2004, 2004, 2004, 2004,
6916 2004, 2004, 2004, 2004, 2004, 2004, 2004, 2004, 2004, 2004,
6917 2005, 2005, 0, 2005, 2005, 2005, 2005, 2005, 2005, 2005,
6918 2005, 2005, 2005, 2005, 2005, 2005, 2005, 2005, 2005, 2005,
6919 2005, 2005, 2005, 2006, 2006, 2006, 2006, 2006, 2006, 2006,
6920 2006, 2006, 2006, 2006, 2006, 2006, 2006, 2006, 2006, 2006,
6921 2006, 2006, 2006, 2006, 2006, 2006, 2007, 2007, 2007, 2007,
6922 2007, 2007, 2007, 2007, 2007, 2007, 2007, 2007, 2007, 2007,
6923 2007, 2007, 2007, 2007, 2007, 2007, 2007, 2007, 2007, 2008,
6924 2008, 2008, 2008, 0, 2008, 0, 2008, 2008, 2008, 2008,
6925
6926 2008, 2008, 2008, 0, 2008, 0, 2008, 2008, 0, 2008,
6927 2009, 0, 0, 2009, 2009, 0, 2009, 2009, 2009, 2009,
6928 2009, 2009, 2009, 2009, 2009, 2009, 2009, 2009, 2009, 2009,
6929 2009, 2009, 2010, 0, 0, 2010, 2010, 2010, 2010, 2010,
6930 2010, 2010, 2010, 2010, 2010, 2010, 2010, 2010, 2010, 2010,
6931 2010, 2010, 2010, 2010, 2011, 2011, 2011, 2011, 2011, 2011,
6932 2011, 2011, 2011, 2011, 2011, 2011, 2011, 2011, 2011, 2011,
6933 2011, 2011, 2011, 2011, 2011, 2011, 2011, 2012, 2012, 2012,
6934 2012, 2012, 2012, 2012, 2012, 2012, 2012, 2012, 2012, 2012,
6935 2012, 2012, 2012, 2012, 2012, 2012, 2012, 2012, 2012, 2012,
6936
6937 2013, 2013, 2013, 2013, 0, 0, 0, 2013, 0, 0,
6938 2013, 2013, 2013, 0, 0, 0, 0, 2013, 2013, 0,
6939 2013, 2014, 2014, 2014, 2014, 0, 2014, 0, 2014, 2014,
6940 2014, 2014, 2014, 2014, 2014, 0, 2014, 0, 2014, 2014,
6941 0, 2014, 2015, 2015, 2015, 2015, 0, 0, 0, 2015,
6942 0, 0, 0, 2015, 2015, 2015, 0, 0, 0, 2015,
6943 2015, 0, 2015, 2016, 0, 0, 2016, 2016, 0, 2016,
6944 2016, 2016, 2016, 2016, 2016, 2016, 2016, 2016, 2016, 2016,
6945 2016, 2016, 2016, 2016, 2016, 2017, 2017, 2017, 2017, 2017,
6946 2017, 2017, 2017, 2017, 2017, 2017, 2017, 2017, 2017, 2017,
6947
6948 0, 2017, 2017, 2017, 2017, 2017, 2017, 2017, 2018, 2018,
6949 2018, 2018, 2018, 2018, 2018, 2018, 2018, 2018, 2018, 2018,
6950 2018, 2018, 2018, 0, 2018, 2018, 2018, 2018, 2018, 2018,
6951 2018, 2019, 2019, 2019, 2019, 2019, 2019, 2019, 2019, 2019,
6952 2019, 2019, 2019, 2019, 2019, 2019, 0, 2019, 2019, 2019,
6953 2019, 2019, 2019, 2019, 2020, 2020, 2020, 2020, 2020, 2020,
6954 2020, 2020, 2020, 2020, 2020, 2020, 2020, 2020, 2020, 2020,
6955 2020, 2020, 2020, 2020, 2020, 2020, 2020, 2021, 2021, 2021,
6956 2021, 0, 2021, 0, 0, 2021, 2021, 2021, 0, 2021,
6957 0, 2021, 2021, 0, 2021, 2021, 0, 2021, 2022, 2022,
6958
6959 2022, 2022, 0, 2022, 0, 0, 2022, 2022, 2022, 2022,
6960 2022, 0, 2022, 2022, 0, 2022, 2022, 0, 2022, 2023,
6961 2023, 2023, 2023, 2023, 0, 2023, 2023, 2023, 2023, 2023,
6962 2023, 2023, 2023, 2023, 2023, 2023, 2023, 2023, 2023, 2023,
6963 2023, 2024, 2024, 0, 0, 0, 0, 2024, 2024, 0,
6964 2024, 2025, 2025, 0, 2025, 2025, 2025, 2025, 2025, 2025,
6965 2025, 2025, 2025, 2025, 2025, 2025, 2025, 2025, 2025, 2025,
6966 2025, 2025, 2025, 2025, 2026, 2026, 0, 2026, 2026, 2026,
6967 2026, 2026, 2026, 2026, 2026, 2026, 2026, 2026, 2026, 2026,
6968 2026, 2026, 2026, 2026, 2026, 2026, 2026, 2027, 2027, 0,
6969
6970 2027, 2027, 2027, 2027, 2027, 2027, 2027, 2027, 2027, 2027,
6971 2027, 2027, 2027, 2027, 2027, 2027, 2027, 2027, 2027, 2027,
6972 2028, 2028, 2028, 2028, 0, 2028, 0, 0, 2028, 2028,
6973 2028, 0, 2028, 0, 2028, 2028, 0, 2028, 2028, 0,
6974 2028, 2029, 2029, 2029, 2029, 0, 2029, 0, 0, 2029,
6975 2029, 2029, 2029, 2029, 0, 2029, 2029, 0, 2029, 2029,
6976 0, 2029, 2030, 2030, 2030, 2030, 2030, 0, 2030, 2030,
6977 2030, 2030, 2030, 2030, 2030, 2030, 2030, 2030, 2030, 2030,
6978 2030, 2030, 2030, 2030, 2031, 2031, 0, 2031, 2031, 2031,
6979 2031, 2031, 2031, 2031, 2031, 2031, 2031, 2031, 2031, 2031,
6980
6981 2031, 2031, 2031, 2031, 2031, 2031, 2031, 2032, 0, 0,
6982 2032, 2032, 0, 2032, 2032, 2032, 2032, 2032, 2032, 2032,
6983 2032, 2032, 2032, 2032, 2032, 2032, 2032, 2032, 2032, 2033,
6984 0, 0, 2033, 2033, 2033, 2033, 2033, 2033, 2033, 2033,
6985 2033, 2033, 2033, 2033, 2033, 2033, 2033, 2033, 2033, 2033,
6986 2033, 2034, 2034, 2034, 2034, 2034, 2034, 2034, 2034, 2034,
6987 2034, 2034, 2034, 2034, 2034, 2034, 2034, 2034, 2034, 2034,
6988 2034, 2034, 2034, 2034, 2035, 2035, 2035, 2035, 2035, 2035,
6989 2035, 2035, 2035, 2035, 2035, 2035, 2035, 2035, 2035, 2035,
6990 2035, 2035, 2035, 2035, 2035, 2035, 2035, 2036, 2036, 2036,
6991
6992 2036, 0, 0, 0, 2036, 0, 0, 2036, 2036, 2036,
6993 0, 0, 0, 0, 2036, 2036, 0, 2036, 2037, 2037,
6994 2037, 2037, 0, 0, 0, 2037, 0, 0, 2037, 2037,
6995 2037, 0, 0, 0, 0, 2037, 2037, 0, 2037, 2038,
6996 0, 0, 2038, 2038, 0, 2038, 2038, 2038, 2038, 2038,
6997 2038, 2038, 2038, 2038, 2038, 2038, 2038, 2038, 2038, 2038,
6998 2038, 2039, 2039, 2039, 2039, 2039, 2039, 2039, 2039, 2039,
6999 2039, 2039, 2039, 2039, 2039, 2039, 0, 2039, 2039, 2039,
7000 2039, 2039, 2039, 2039, 2040, 2040, 2040, 2040, 2040, 2040,
7001 2040, 2040, 2040, 2040, 2040, 2040, 2040, 2040, 2040, 0,
7002
7003 2040, 2040, 2040, 2040, 2040, 2040, 2040, 2041, 2041, 2041,
7004 2041, 2041, 2041, 2041, 2041, 2041, 2041, 2041, 2041, 2041,
7005 2041, 2041, 2041, 2041, 2041, 2041, 2041, 2041, 2041, 2041,
7006 2042, 2042, 2042, 2042, 0, 2042, 0, 0, 2042, 2042,
7007 2042, 0, 2042, 0, 2042, 2042, 0, 2042, 2042, 0,
7008 2042, 2043, 2043, 2043, 2043, 0, 2043, 0, 0, 2043,
7009 2043, 2043, 2043, 2043, 0, 2043, 2043, 0, 2043, 2043,
7010 0, 2043, 2044, 2044, 0, 2044, 2044, 2044, 2044, 2044,
7011 2044, 2044, 2044, 2044, 2044, 2044, 2044, 2044, 2044, 2044,
7012 2044, 2044, 2044, 2044, 2044, 2045, 2045, 0, 2045, 2045,
7013
7014 2045, 2045, 2045, 2045, 2045, 2045, 2045, 2045, 2045, 2045,
7015 2045, 2045, 2045, 2045, 2045, 2045, 2045, 2045, 2046, 2046,
7016 2046, 2046, 0, 2046, 0, 0, 2046, 2046, 2046, 0,
7017 2046, 0, 2046, 2046, 0, 2046, 2046, 0, 2046, 2047,
7018 2047, 2047, 2047, 0, 2047, 0, 0, 2047, 2047, 2047,
7019 2047, 2047, 0, 2047, 2047, 0, 2047, 2047, 0, 2047,
7020 2048, 2048, 2048, 2048, 0, 0, 0, 2048, 0, 0,
7021 2048, 2048, 2048, 0, 0, 0, 0, 2048, 2048, 0,
7022 2048, 2049, 2049, 2049, 2049, 2049, 2049, 2049, 2049, 2049,
7023 2049, 2049, 2049, 2049, 2049, 2049, 2049, 2049, 2049, 2049,
7024
7025 2049, 2049, 2049, 2049, 2050, 2050, 2050, 2050, 2050, 2050,
7026 2050, 2050, 2050, 2050, 2050, 2050, 2050, 2050, 2050, 2050,
7027 2050, 2050, 2050, 2050, 2050, 2050, 2050, 2051, 2051, 2051,
7028 2051, 2051, 2051, 2051, 2051, 2051, 2051, 2051, 2051, 2051,
7029 2051, 2051, 2051, 2051, 2051, 2051, 2051, 2051, 2051, 2051,
7030 2052, 2052, 2052, 2052, 0, 2052, 0, 0, 2052, 2052,
7031 2052, 2052, 2052, 0, 2052, 2052, 0, 2052, 2052, 2052,
7032 2052, 2053, 2053, 2053, 2053, 2053, 2053, 2053, 2053, 2053,
7033 2053, 2053, 2053, 2053, 2053, 2053, 2053, 2053, 2053, 2053,
7034 2053, 2053, 2053, 2053, 2054, 2054, 2054, 2054, 0, 0,
7035
7036 0, 0, 0, 0, 0, 0, 2054, 0, 2054, 0,
7037 0, 2054, 2054, 0, 2054, 2055, 2055, 2055, 2055, 0,
7038 2055, 0, 0, 0, 0, 0, 2055, 2055, 0, 2055,
7039 0, 0, 2055, 2055, 2055, 2055, 2056, 2056, 2056, 2056,
7040 2056, 2056, 2056, 2056, 2056, 2056, 2056, 2056, 2056, 2056,
7041 2056, 0, 2056, 2056, 2056, 2056, 2056, 2056, 2056, 2057,
7042 2057, 2057, 2057, 0, 2057, 0, 0, 2057, 2057, 2057,
7043 0, 2057, 0, 2057, 2057, 0, 2057, 2057, 2057, 2057,
7044 2058, 2058, 2058, 2058, 0, 2058, 0, 0, 2058, 2058,
7045 2058, 2058, 2058, 0, 2058, 2058, 0, 2058, 2058, 2058,
7046
7047 2058, 2059, 2059, 2059, 2059, 0, 2059, 0, 0, 0,
7048 0, 0, 0, 0, 0, 2059, 0, 0, 2059, 2059,
7049 2059, 2060, 2060, 2060, 2060, 0, 2060, 0, 0, 2060,
7050 2060, 2060, 0, 2060, 0, 2060, 2060, 0, 2060, 2060,
7051 2060, 2060, 2061, 2061, 2061, 2061, 0, 2061, 0, 0,
7052 2061, 2061, 2061, 2061, 2061, 0, 2061, 2061, 0, 2061,
7053 2061, 2061, 2061, 2062, 0, 0, 2062, 2062, 0, 2062,
7054 2062, 2062, 2062, 2062, 2062, 2062, 2062, 2062, 2062, 2062,
7055 2062, 2062, 2062, 2062, 2062, 2063, 2063, 2063, 2063, 2063,
7056 2063, 2063, 2063, 2063, 2063, 2063, 2063, 2063, 2063, 2063,
7057
7058 2063, 2063, 2063, 2063, 2063, 2063, 2063, 2063, 2064, 2064,
7059 2064, 2064, 0, 0, 0, 0, 0, 0, 0, 0,
7060 2064, 0, 2064, 0, 0, 2064, 2064, 0, 2064, 2065,
7061 2065, 2065, 2065, 2065, 2065, 2065, 2065, 2065, 2065, 2065,
7062 2065, 2065, 2065, 2065, 2065, 2065, 2065, 2065, 2065, 2065,
7063 2065, 2065, 2066, 2066, 0, 0, 0, 0, 2066, 2066,
7064 0, 2066, 2067, 2067, 2067, 2067, 0, 2067, 0, 0,
7065 2067, 2067, 2067, 0, 2067, 0, 2067, 2067, 0, 2067,
7066 2067, 2067, 2067, 2068, 2068, 2068, 2068, 0, 2068, 0,
7067 0, 2068, 2068, 2068, 2068, 2068, 0, 2068, 2068, 0,
7068
7069 2068, 2068, 2068, 2068, 2069, 2069, 2069, 2069, 0, 2069,
7070 0, 0, 2069, 2069, 2069, 0, 2069, 0, 2069, 2069,
7071 0, 2069, 2069, 0, 2069, 2070, 2070, 2070, 2070, 0,
7072 2070, 0, 0, 2070, 2070, 2070, 2070, 2070, 0, 2070,
7073 2070, 0, 2070, 2070, 2070, 2070, 2071, 2071, 2071, 2071,
7074 0, 2071, 0, 0, 2071, 2071, 2071, 2071, 2071, 0,
7075 2071, 2071, 0, 2071, 2071, 0, 2071, 2072, 0, 0,
7076 2072, 2072, 0, 2072, 2072, 2072, 2072, 2072, 2072, 2072,
7077 2072, 2072, 2072, 2072, 2072, 2072, 2072, 2072, 2072, 2073,
7078 2073, 2073, 2073, 2073, 2073, 2073, 2073, 2073, 2073, 2073,
7079
7080 2073, 2073, 2073, 2073, 2073, 2073, 2073, 2073, 2073, 2073,
7081 2073, 2074, 2074, 2074, 2074, 2074, 2074, 2074, 2074, 2074,
7082 2074, 2074, 2074, 2074, 2074, 2074, 2074, 2074, 2074, 2074,
7083 2074, 2074, 2074, 2074, 2075, 2075, 2075, 2075, 0, 0,
7084 0, 2075, 0, 0, 2075, 2075, 2075, 0, 0, 0,
7085 0, 2075, 2075, 0, 2075, 2076, 2076, 2076, 2076, 0,
7086 0, 0, 2076, 0, 0, 0, 2076, 2076, 0, 0,
7087 0, 0, 2076, 2076, 0, 2076, 2077, 2077, 2077, 2077,
7088 0, 0, 0, 2077, 0, 0, 2077, 2077, 2077, 0,
7089 0, 0, 0, 2077, 2077, 0, 2077, 2078, 2078, 2078,
7090
7091 2078, 0, 2078, 0, 2078, 2078, 2078, 2078, 2078, 2078,
7092 2078, 0, 2078, 0, 2078, 2078, 0, 2078, 2079, 2079,
7093 2079, 2079, 2079, 0, 2079, 2079, 2079, 2079, 2079, 2079,
7094 2079, 2079, 2079, 2079, 2079, 2079, 2079, 2079, 2079, 2079,
7095 2080, 2080, 2080, 2080, 2080, 2080, 2080, 2080, 2080, 2080,
7096 2080, 2080, 2080, 2080, 2080, 0, 2080, 2080, 2080, 2080,
7097 2080, 2080, 2080, 2081, 2081, 2081, 2081, 2081, 2081, 2081,
7098 2081, 2081, 2081, 2081, 2081, 2081, 2081, 2081, 2081, 2081,
7099 2081, 2081, 2081, 2081, 2081, 2081, 2082, 2082, 2082, 2082,
7100 2082, 2082, 2082, 2082, 2082, 2082, 2082, 2082, 2082, 2082,
7101
7102 2082, 0, 2082, 2082, 2082, 2082, 2082, 2082, 2082, 2083,
7103 2083, 2083, 2083, 2083, 2083, 2083, 2083, 2083, 2083, 2083,
7104 2083, 2083, 2083, 2083, 0, 2083, 2083, 2083, 2083, 2083,
7105 2083, 2083, 2084, 2084, 2084, 2084, 2084, 2084, 2084, 2084,
7106 2084, 2084, 2084, 2084, 2084, 2084, 2084, 0, 2084, 2084,
7107 2084, 2084, 2084, 2084, 2084, 2085, 2085, 2085, 2085, 0,
7108 2085, 0, 0, 2085, 2085, 2085, 0, 2085, 0, 2085,
7109 2085, 0, 2085, 2085, 2085, 2085, 2086, 2086, 2086, 2086,
7110 0, 2086, 0, 0, 2086, 2086, 2086, 0, 2086, 0,
7111 2086, 2086, 0, 2086, 2086, 0, 2086, 2087, 2087, 2087,
7112
7113 2087, 0, 2087, 0, 0, 0, 0, 0, 0, 0,
7114 0, 2087, 0, 0, 2087, 2087, 2087, 2088, 2088, 2088,
7115 2088, 0, 2088, 0, 0, 2088, 2088, 2088, 2088, 2088,
7116 0, 2088, 2088, 0, 2088, 2088, 0, 2088, 2089, 2089,
7117 2089, 2089, 0, 2089, 0, 0, 2089, 2089, 2089, 2089,
7118 2089, 0, 2089, 2089, 0, 2089, 2089, 2089, 2089, 2090,
7119 2090, 2090, 2090, 0, 2090, 0, 0, 2090, 2090, 2090,
7120 0, 2090, 0, 2090, 2090, 0, 2090, 2090, 2090, 2090,
7121 2091, 2091, 2091, 2091, 0, 2091, 0, 0, 2091, 2091,
7122 2091, 2091, 2091, 0, 2091, 2091, 0, 2091, 2091, 2091,
7123
7124 2091, 2092, 0, 0, 2092, 2092, 0, 2092, 2092, 2092,
7125 2092, 2092, 2092, 2092, 2092, 2092, 2092, 2092, 2092, 2092,
7126 2092, 2092, 2092, 2093, 2093, 2093, 2093, 2093, 2093, 2093,
7127 2093, 2093, 2093, 2093, 2093, 2093, 2093, 2093, 2093, 2093,
7128 2093, 2093, 2093, 2093, 2093, 2094, 2094, 0, 0, 0,
7129 0, 2094, 2094, 0, 2094, 2095, 2095, 2095, 2095, 0,
7130 2095, 0, 0, 2095, 2095, 2095, 0, 2095, 0, 2095,
7131 2095, 0, 2095, 2095, 2095, 2095, 2096, 2096, 2096, 2096,
7132 0, 2096, 0, 0, 2096, 2096, 2096, 2096, 2096, 0,
7133 2096, 2096, 0, 2096, 2096, 2096, 2096, 2097, 2097, 2097,
7134
7135 2097, 0, 2097, 0, 0, 2097, 2097, 2097, 0, 2097,
7136 0, 2097, 2097, 0, 2097, 2097, 2097, 2097, 2098, 2098,
7137 2098, 2098, 0, 2098, 0, 0, 2098, 2098, 2098, 0,
7138 2098, 0, 2098, 2098, 0, 2098, 2098, 0, 2098, 2099,
7139 2099, 2099, 2099, 0, 2099, 0, 0, 0, 0, 0,
7140 0, 0, 0, 2099, 0, 0, 2099, 2099, 2099, 2100,
7141 2100, 2100, 2100, 0, 2100, 0, 0, 2100, 2100, 2100,
7142 2100, 2100, 0, 2100, 2100, 0, 2100, 2100, 0, 2100,
7143 2101, 2101, 2101, 2101, 0, 2101, 0, 0, 2101, 2101,
7144 2101, 2101, 2101, 0, 2101, 2101, 0, 2101, 2101, 2101,
7145
7146 2101, 2102, 2102, 2102, 2102, 2102, 0, 2102, 2102, 2102,
7147 2102, 2102, 2102, 2102, 2102, 2102, 2102, 2102, 2102, 2102,
7148 2102, 2102, 2102, 2103, 2103, 2103, 2103, 2103, 2103, 2103,
7149 2103, 2103, 2103, 2103, 2103, 2103, 2103, 2103, 2103, 2103,
7150 2103, 2103, 2103, 2103, 2103, 2104, 2104, 2104, 2104, 0,
7151 0, 0, 2104, 0, 0, 2104, 2104, 2104, 0, 0,
7152 0, 0, 2104, 2104, 0, 2104, 2105, 2105, 2105, 2105,
7153 0, 0, 0, 2105, 0, 0, 0, 2105, 2105, 0,
7154 0, 0, 0, 2105, 2105, 0, 2105, 2106, 2106, 2106,
7155 2106, 0, 0, 0, 2106, 0, 0, 2106, 2106, 2106,
7156
7157 0, 0, 0, 0, 2106, 2106, 0, 2106, 2107, 2107,
7158 2107, 2107, 0, 0, 0, 0, 0, 0, 0, 0,
7159 2107, 2107, 0, 0, 0, 2107, 2107, 2107, 2107, 2108,
7160 2108, 2108, 2108, 0, 0, 0, 2108, 0, 0, 0,
7161 2108, 2108, 2108, 0, 0, 0, 2108, 2108, 2108, 2108,
7162 2109, 2109, 2109, 2109, 0, 2109, 0, 2109, 2109, 2109,
7163 2109, 2109, 2109, 2109, 0, 2109, 0, 2109, 2109, 0,
7164 2109, 2110, 2110, 2110, 2110, 0, 0, 0, 2110, 0,
7165 0, 0, 2110, 2110, 2110, 2110, 0, 0, 2110, 2110,
7166 0, 2110, 2111, 2111, 2111, 2111, 2111, 0, 2111, 2111,
7167
7168 2111, 2111, 2111, 2111, 2111, 2111, 2111, 2111, 2111, 2111,
7169 2111, 2111, 2111, 2111, 2112, 2112, 2112, 2112, 2112, 2112,
7170 2112, 2112, 2112, 2112, 2112, 2112, 2112, 2112, 2112, 0,
7171 2112, 2112, 2112, 2112, 2112, 2112, 2112, 2113, 2113, 2113,
7172 2113, 2113, 2113, 2113, 2113, 2113, 2113, 2113, 2113, 2113,
7173 2113, 2113, 2113, 2113, 2113, 2113, 2113, 2113, 2113, 2113,
7174 2114, 2114, 2114, 2114, 2114, 2114, 2114, 2114, 2114, 2114,
7175 2114, 2114, 2114, 2114, 2114, 0, 2114, 2114, 2114, 2114,
7176 2114, 2114, 2114, 2115, 2115, 2115, 2115, 2115, 2115, 2115,
7177 2115, 2115, 2115, 2115, 2115, 2115, 2115, 2115, 0, 2115,
7178
7179 2115, 2115, 2115, 2115, 2115, 2115, 2116, 2116, 2116, 2116,
7180 2116, 2116, 2116, 2116, 2116, 2116, 2116, 2116, 2116, 2116,
7181 2116, 0, 2116, 2116, 2116, 2116, 2116, 2116, 2116, 2117,
7182 2117, 2117, 2117, 0, 2117, 0, 0, 2117, 2117, 2117,
7183 0, 2117, 0, 2117, 2117, 0, 2117, 2117, 0, 2117,
7184 2118, 2118, 2118, 2118, 0, 2118, 0, 0, 2118, 2118,
7185 2118, 0, 2118, 0, 2118, 2118, 0, 2118, 2118, 2118,
7186 2118, 2119, 2119, 2119, 2119, 0, 2119, 0, 0, 2119,
7187 2119, 2119, 2119, 2119, 0, 2119, 2119, 0, 2119, 2119,
7188 0, 2119, 2120, 2120, 2120, 2120, 2120, 0, 2120, 2120,
7189
7190 2120, 2120, 2120, 2120, 2120, 2120, 2120, 2120, 2120, 2120,
7191 2120, 2120, 2120, 2120, 2121, 2121, 2121, 2121, 2121, 2121,
7192 2121, 2121, 2121, 2121, 2121, 2121, 2121, 2121, 2121, 2121,
7193 2121, 2121, 2121, 2121, 2121, 2121, 2122, 2122, 2122, 2122,
7194 2122, 2122, 2122, 2122, 2122, 2122, 2122, 2122, 2122, 2122,
7195 2122, 2122, 2122, 2122, 2122, 2122, 2122, 2122, 2123, 2123,
7196 2123, 2123, 0, 2123, 0, 0, 2123, 2123, 2123, 0,
7197 2123, 0, 2123, 2123, 0, 2123, 2123, 0, 2123, 2124,
7198 2124, 2124, 2124, 0, 2124, 0, 0, 2124, 2124, 2124,
7199 0, 2124, 0, 2124, 2124, 0, 2124, 2124, 2124, 2124,
7200
7201 2125, 2125, 2125, 2125, 2125, 0, 2125, 2125, 2125, 2125,
7202 2125, 2125, 2125, 2125, 2125, 2125, 2125, 2125, 2125, 2125,
7203 2125, 2125, 2126, 2126, 2126, 2126, 0, 0, 0, 0,
7204 0, 0, 0, 2126, 2126, 0, 0, 0, 0, 2126,
7205 2126, 0, 2126, 2127, 0, 0, 2127, 2127, 0, 2127,
7206 2127, 2127, 2127, 2127, 2127, 2127, 2127, 2127, 2127, 2127,
7207 2127, 2127, 2127, 2127, 2127, 2128, 2128, 2128, 2128, 0,
7208 0, 0, 2128, 0, 0, 0, 2128, 2128, 2128, 0,
7209 0, 0, 2128, 2128, 0, 2128, 2129, 2129, 2129, 2129,
7210 0, 0, 0, 0, 0, 0, 0, 0, 2129, 2129,
7211
7212 0, 0, 0, 2129, 2129, 2129, 2129, 2130, 2130, 2130,
7213 2130, 0, 0, 0, 2130, 0, 0, 0, 2130, 2130,
7214 2130, 0, 0, 0, 2130, 2130, 2130, 2130, 2131, 2131,
7215 2131, 2131, 0, 0, 0, 0, 0, 0, 0, 0,
7216 2131, 0, 2131, 0, 0, 2131, 2131, 2131, 2131, 2132,
7217 2132, 2132, 2132, 0, 0, 0, 2132, 0, 0, 0,
7218 2132, 2132, 2132, 2132, 0, 0, 2132, 2132, 2132, 2132,
7219 2133, 2133, 2133, 2133, 2133, 0, 2133, 2133, 2133, 2133,
7220 2133, 2133, 2133, 2133, 2133, 2133, 2133, 2133, 2133, 2133,
7221 2133, 2133, 2134, 2134, 2134, 2134, 2134, 2134, 2134, 2134,
7222
7223 2134, 2134, 2134, 2134, 2134, 2134, 2134, 2134, 2134, 2134,
7224 2134, 2134, 2134, 2134, 2134, 2135, 2135, 2135, 2135, 2135,
7225 2135, 2135, 2135, 2135, 2135, 2135, 2135, 2135, 2135, 2135,
7226 0, 2135, 2135, 2135, 2135, 2135, 2135, 2135, 2136, 2136,
7227 2136, 2136, 2136, 2136, 2136, 2136, 2136, 2136, 2136, 2136,
7228 2136, 2136, 2136, 0, 2136, 2136, 2136, 2136, 2136, 2136,
7229 2136, 2137, 2137, 2137, 2137, 0, 2137, 0, 0, 2137,
7230 2137, 2137, 0, 2137, 0, 2137, 2137, 0, 2137, 2137,
7231 0, 2137, 2138, 2138, 2138, 2138, 0, 2138, 0, 0,
7232 2138, 2138, 2138, 2138, 2138, 0, 2138, 2138, 0, 2138,
7233
7234 2138, 0, 2138, 2139, 2139, 2139, 2139, 0, 0, 0,
7235 0, 0, 0, 0, 2139, 2139, 0, 0, 0, 0,
7236 2139, 2139, 0, 2139, 2140, 0, 0, 2140, 2140, 0,
7237 2140, 2140, 2140, 2140, 2140, 2140, 2140, 2140, 2140, 2140,
7238 2140, 2140, 2140, 2140, 2140, 2140, 2141, 2141, 2141, 2141,
7239 0, 0, 0, 2141, 0, 0, 0, 2141, 2141, 2141,
7240 0, 0, 0, 2141, 2141, 0, 2141, 2142, 2142, 2142,
7241 2142, 0, 0, 0, 0, 0, 0, 0, 2142, 2142,
7242 0, 2142, 0, 0, 2142, 2142, 0, 2142, 2143, 0,
7243 0, 2143, 2143, 0, 2143, 2143, 2143, 2143, 2143, 2143,
7244
7245 2143, 2143, 2143, 2143, 2143, 2143, 2143, 2143, 2143, 2143,
7246 2144, 2144, 2144, 2144, 0, 0, 0, 2144, 0, 0,
7247 0, 2144, 2144, 2144, 2144, 0, 0, 2144, 2144, 0,
7248 2144, 2145, 2145, 2145, 2145, 0, 0, 0, 0, 0,
7249 0, 0, 0, 2145, 0, 0, 0, 0, 2145, 2145,
7250 2145, 2145, 2146, 2146, 2146, 2146, 0, 0, 0, 2146,
7251 0, 0, 0, 2146, 2146, 2146, 0, 0, 0, 2146,
7252 2146, 2146, 2146, 2147, 2147, 2147, 2147, 0, 0, 0,
7253 0, 0, 0, 0, 2147, 2147, 0, 0, 0, 0,
7254 2147, 2147, 0, 2147, 2148, 0, 0, 2148, 2148, 0,
7255
7256 2148, 2148, 2148, 2148, 2148, 2148, 2148, 2148, 2148, 2148,
7257 2148, 2148, 2148, 2148, 2148, 2148, 2149, 2149, 2149, 2149,
7258 2149, 0, 2149, 2149, 2149, 2149, 2149, 2149, 2149, 2149,
7259 2149, 2149, 2149, 2149, 2149, 2149, 2149, 2149, 2150, 2150,
7260 2150, 2150, 0, 0, 0, 2150, 0, 0, 0, 2150,
7261 2150, 2150, 0, 0, 0, 2150, 2150, 0, 2150, 2151,
7262 2151, 2151, 2151, 0, 0, 0, 0, 0, 0, 0,
7263 0, 2151, 0, 2151, 0, 0, 2151, 2151, 2151, 2151,
7264 2152, 2152, 2152, 2152, 0, 0, 0, 2152, 0, 0,
7265 0, 2152, 2152, 2152, 2152, 0, 0, 2152, 2152, 2152,
7266
7267 2152, 2153, 2153, 2153, 2153, 2153, 0, 2153, 2153, 2153,
7268 2153, 2153, 2153, 2153, 2153, 2153, 2153, 2153, 2153, 2153,
7269 2153, 2153, 2153, 2154, 2154, 2154, 2154, 2154, 0, 2154,
7270 2154, 2154, 2154, 2154, 2154, 2154, 2154, 2154, 2154, 2154,
7271 2154, 2154, 2154, 2154, 2154, 2155, 2155, 0, 0, 0,
7272 0, 2155, 2155, 0, 2155, 2156, 0, 0, 2156, 2156,
7273 0, 2156, 2156, 2156, 2156, 2156, 2156, 2156, 2156, 2156,
7274 2156, 2156, 2156, 2156, 2156, 2156, 2156, 2157, 2157, 2157,
7275 2157, 0, 0, 0, 2157, 0, 0, 0, 2157, 2157,
7276 2157, 0, 0, 0, 2157, 2157, 0, 2157, 2158, 2158,
7277
7278 2158, 2158, 2158, 0, 2158, 2158, 2158, 2158, 2158, 2158,
7279 2158, 2158, 2158, 2158, 2158, 2158, 2158, 2158, 2158, 2158,
7280 2159, 2159, 2159, 2159, 2159, 0, 2159, 2159, 2159, 2159,
7281 2159, 2159, 2159, 2159, 2159, 2159, 2159, 2159, 2159, 2159,
7282 2159, 2159, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
7283 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
7284 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
7285 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
7286 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
7287 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860,
7288
7289 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860, 1860
7290 } ;
7291
7292extern int vhdlscanYY_flex_debug;
7293int vhdlscanYY_flex_debug = 0;
7294
7295static yy_state_type *yy_state_buf=0, *yy_state_ptr=0;
7296static char *yy_full_match;
7297static int yy_lp;
7298static int yy_looking_for_trail_begin = 0;
7299static int yy_full_lp;
7300static int *yy_full_state;
7301#define YY_TRAILING_MASK 0x2000
7302#define YY_TRAILING_HEAD_MASK 0x4000
7303#define REJECT \
7304{ \
7305*yy_cp = (yy_hold_char); /* undo effects of setting up vhdlscanYYtext */ \
7306yy_cp = (yy_full_match); /* restore poss. backed-over text */ \
7307(yy_lp) = (yy_full_lp); /* restore orig. accepting pos. */ \
7308(yy_state_ptr) = (yy_full_state); /* restore orig. state */ \
7309yy_current_state = *(yy_state_ptr); /* restore curr. state */ \
7310++(yy_lp); \
7311goto find_rule; \
7312}
7313
7314#define yymore() yymore_used_but_not_detected
7315#define YY_MORE_ADJ 0
7316#define YY_RESTORE_YY_MORE_OFFSET
7317char *vhdlscanYYtext;
7318#line 1 "vhdlscanner.l"
7319/******************************************************************************
7320 *
7321 * Copyright (C) 1997-2011 by Dimitri van Heesch.
7322 *
7323 * Permission to use, copy, modify, and distribute this software and its
7324 * documentation under the terms of the GNU General Public License is hereby
7325 * granted. No representations are made about the suitability of this software
7326 * for any purpose. It is provided "as is" without express or implied warranty.
7327 * See the GNU General Public License for more details.
7328 *
7329 * Documents produced by Doxygen are derivative works derived from the
7330 * input used in their production; they are not affected by this license.
7331 *
7332 */
7333/******************************************************************************
7334 * Parser for VHDL subset
7335 * written by M. Kreis
7336 * supports VHDL-87/93
7337 * does not support VHDL-AMS
7338 ******************************************************************************/
7339#line 22 "vhdlscanner.l"
7340
7341// global includes
7342#include <stdio.h>
7343#include <stdlib.h>
7344#include <assert.h>
7345#include <string.h>
7346#include <qcstring.h>
7347#include <qfileinfo.h>
7348#include <qstringlist.h>
7349
7350/* --------------------------------------------------------------- */
7351
7352// local includes
7353#include "vhdlscanner.h"
7354#include "vhdlcode.h"
7355#include "vhdldocgen.h"
7356#include "message.h"
7357#include "config.h"
7358#include "doxygen.h"
7359#include "util.h"
7360#include "language.h"
7361#include "commentscan.h"
7362#include "index.h"
7363#include "definition.h"
7364#include "searchindex.h"
7365#include "outputlist.h"
7366
7367/* --------------------------------------------------------------- */
7368
7369//#define theTranslator_vhdlType theTranslator->trVhdlType
7370#define theTranslator_vhdlType VhdlDocGen::getVhdlType
7371
7372static QStringList qrl;
7373static int openGroups;
7374static ParserInterface *g_thisParser;
7375static const char * inputString;
7376static int inputPosition;
7377static int inputLen;
7378static int startComment = 0;
7379static QFile inputFile;
7380static QCString inbuf;
7381static Entry* global_root = 0;
7382static Entry* current_root = 0;
7383static Entry* current = 0;
7384static Entry* previous = 0;
7385static Entry* functionEntry = 0;
7386static Entry* lastEntity = 0;
7387static Entry* lastCompound = 0;
7388static int genPort = 0;
7389static QCString yyFileName;
7390static int iFuncLine = 1;
7391static bool g_inputFromFile ;
7392static bool g_lexInit = FALSE;
7393static int isBody=0;
7394static int isFunc=0;
7395static int yyLineNr = 1;
7396static char * g_buf = 0;
7397static uint g_bufSize = 0;
7398static int iTextCounter = 0;
7399static int iCounter = 0;
7400static int bropen = 0;
7401static int scantype = 0;
7402static int g_lastCommentContext = 0;
7403static bool docBlockAutoBrief;
7404static char docBlockTerm;
7405static int iDocLine = -1;
7406static Entry gBlock;
7407static int num_chars;
7408
7409//#define YY_A_INTERACTIVE 1
7410#define YY_NEVER_INTERACTIVE 1
7411//-----------------------------------------------------------------------------
7412#define YY_USER_ACTION num_chars += vhdlscanYYleng;
7413static void parserInit();
7414static void deleteSpecChars(char* str,char *buf);
7415static void handleCommentBlock(const QCString &doc,bool brief);
7416static void newEntry();
7417static void initEntry(Entry *e);
7418
7419static int iCodeLen;
7420
7421static void makeInline()
7422{
7423 int diff=num_chars-iCodeLen;
7424 assert(inputLen>iCodeLen+diff);
7425 QCString par(&inputString[iCodeLen],diff);
7426 int index=par.findRev("\\endcode");
7427 int tt=par.length()-par.find("\n",index);
7428 QCString qc(&inputString[iCodeLen-tt],diff);
7429 index=qc.findRev("--!");
7430 if (index<=0) return;
7431 par=qc.left(index);
7432 /*
7433 fprintf(stderr,"\n-------------------------------------------------------------------------------- ");
7434 fprintf(stderr,"\n bytes since %d %d \n %s",num_chars,iCodeLen,par.data());
7435 fprintf(stderr,"\n-------------------------------------------------------------------------------- ");
7436 */
7437 gBlock.doc=par;
7438 gBlock.section=Entry::VARIABLE_SEC;
7439 gBlock.spec=VhdlDocGen::MISCELLANEOUS;
7440 gBlock.fileName = yyFileName;
7441 gBlock.endBodyLine=yyLineNr-1;
7442 Entry *temp=new Entry(gBlock);
7443 if (lastCompound)
7444 lastCompound->addSubEntry(temp);
7445 else if (lastEntity)
7446 lastEntity->addSubEntry(temp);
7447 else
7448 {
7449 temp->type="misc"; // global code
7450 current_root->addSubEntry(temp);
7451 }
7452
7453 gBlock.reset();
7454
7455}// makeInline
7456
7457static void addSubEntry(Entry* root, Entry* e)
7458{
7459 if (e==0 || root==0) return;
7460 //if (isPrevDoc)
7461 //{
7462 // e->brief=prevDocEntry.brief;
7463 // e->briefLine=prevDocEntry.briefLine;
7464 // prevDocEntry.reset();
7465 // isPrevDoc=FALSE;
7466 //}
7467 root->addSubEntry(e);
7468}
7469
7470static void bufferClear()
7471{
7472 int j;
7473 for (j=0;j<iCounter+1;j++)
7474 {
7475 g_buf[j]=0;
7476 }
7477
7478 iCounter=0;
7479}
7480
7481static void addText (char *word, int llen)
7482{
7483 if ((uint)(iCounter + llen) > g_bufSize)
7484 {
7485 char *pTmp = (char*)realloc(g_buf,iCounter+llen+2048);
7486 if (pTmp)
7487 {
7488 g_buf = pTmp;
7489 }
7490 else
7491 {
7492 fprintf(stderr,"\n not enough memory for realloc\n");
7493 return;
7494 }
7495 }
7496 while (llen>0)
7497 {
7498 g_buf[iCounter]=*word++;
7499 iCounter++;
7500 llen--;
7501 }
7502 g_buf[iCounter]='\0';
7503}
7504
7505static void getBufText(QCString& qc,int start)
7506{
7507 while (start < iCounter)
7508 {
7509 qc+=(g_buf[start]);
7510 start++;
7511 }
7512}
7513
7514static void lineCount()
7515{
7516 for ( const char* c = vhdlscanYYtext ; *c ; ++c )
7517 {
7518 yyLineNr += (*c == '\n') ;
7519 }
7520}
7521
7522static void deleteSpecChars(char* str,char *buf)
7523{
7524 while (*str)
7525 {
7526 if ((*str == '\t') || (*str == '\n') || (*str == '\r') || (*str == ' '))
7527 {
7528 *str++;
7529 }
7530 else
7531 {
7532 *buf++ = *str++;
7533 }
7534 }
7535 *buf='\0';
7536}
7537
7538static void getType(Entry* p,char* text)
7539{
7540 QCString name(text);
7541 name=name.stripWhiteSpace();
7542 if (stricmp(name.data(),"signal" )==0)
7543 {
7544 p->spec=VhdlDocGen::SIGNAL;
7545 }
7546 else if (stricmp(name.data(),"type" )==0)
7547 {
7548 p->spec=VhdlDocGen::TYPE;
7549 }
7550 else if (stricmp(name.data(),"subtype" )==0)
7551 {
7552 p->spec=VhdlDocGen::SUBTYPE;
7553 }
7554 else if (stricmp(name.data(),"constant" )==0)
7555 {
7556 p->spec=VhdlDocGen::CONSTANT;
7557 }
7558 else if (stricmp(name.data(),"attribute" )==0)
7559 {
7560 p->spec=VhdlDocGen::ATTRIBUTE;
7561 }
7562 else if (stricmp(name.data(),"function" )==0)
7563 {
7564 p->spec=VhdlDocGen::FUNCTION;
7565 }
7566 else if (stricmp(name.data(),"procedure" )==0)
7567 {
7568 p->spec=VhdlDocGen::PROCEDURE;
7569 }
7570 else if (stricmp(name.data(),"units" )==0)
7571 {
7572 p->spec=VhdlDocGen::UNITS;
7573 }
7574 else if (name.contains("shared",false) && name.contains("variable",false))
7575 {
7576 p->spec=VhdlDocGen::SHAREDVARIABLE;
7577 }
7578 else if (stricmp(name.data(),"file" )==0)
7579 {
7580 p->spec=VhdlDocGen::VFILE;
7581 }
7582 else if (stricmp(name.data(),"group" )==0)
7583 {
7584 p->spec=VhdlDocGen::GROUP;
7585 }
7586 else if (stricmp(name.data(),"alias" )==0)
7587 {
7588 p->spec=VhdlDocGen::ALIAS;
7589 }
7590 else
7591 {
7592 err("wrong type");
7593 }
7594 p->section=Entry::VARIABLE_SEC;
7595}
7596
7597//-------------------------------------------------------------------------
7598
7599/*
7600 * adds signals found in entities|records|units
7601 */
7602
7603static void addSignals(const char* str,int line, Entry *e,const char *comment=0)
7604{
7605 //printf("===> addSignals (%s) comment='%s'\n",str,comment);
7606 QList<QCString> ql;
7607 QCString bufio;
7608 ql.setAutoDelete(TRUE);
7609
7610 VhdlDocGen::getSigName(ql,str,bufio);
7611 int count = ql.count();
7612
7613 QCString brief = current->brief;
7614 QCString doc = current->doc;
7615 Entry *tmpEntry = current;
7616 current = new Entry;
7617 initEntry(current);
7618 handleCommentBlock(comment,TRUE);
7619 if (!current->brief.isEmpty())
7620 {
7621 if (doc.isEmpty())
7622 {
7623 doc = brief;
7624 }
7625 else if (!brief.isEmpty())
7626 {
7627 doc = brief + "<p>" + doc;
7628 }
7629 brief = current->brief;
7630 }
7631 delete current;
7632 current = tmpEntry;
7633 current->brief.resize(0);
7634 current->doc.resize(0);
7635
7636 if (genPort!=3) // not a unit
7637 {
7638 for (int k=1;k<count;k++)
7639 {
7640 //printf("adding '%s' '%s'\n",ql.at(0)->data(),ql.at(k)->data());
7641 Entry *pTemp=new Entry;
7642 initEntry(pTemp);
7643 pTemp->startLine = line;
7644 pTemp->bodyLine = line;
7645 pTemp->name = ql.at(k)->data();
7646 pTemp->section = Entry::VARIABLE_SEC;
7647 pTemp->brief = brief;
7648 pTemp->doc = doc;
7649 pTemp->mGrpId = current->mGrpId; // copy member group id
7650 QCString stSpec = ql.at(0)->data();
7651 if (genPort==1) // found port
7652 {
7653pTemp->spec = VhdlDocGen::PORT;
7654stSpec.stripPrefix(bufio.data());
7655stSpec=stSpec.stripWhiteSpace();
7656pTemp->args = stSpec;
7657pTemp->type = bufio;
7658addSubEntry(e,pTemp);
7659 }
7660 else if (genPort==2) // found record
7661 {
7662pTemp->spec = VhdlDocGen::RECORD;
7663pTemp->type = stSpec;
7664pTemp->name.prepend(VhdlDocGen::getRecordNumber());
7665delete current;
7666current = new Entry(*pTemp); // make a deep copy of pTemp
7667newEntry(); // add it to lastCompound and make a new current
7668delete pTemp;
7669 }
7670 else
7671 {
7672pTemp->spec = VhdlDocGen::GENERIC;
7673pTemp->type = stSpec;
7674addSubEntry(e,pTemp);
7675 }
7676 }// for
7677 }
7678 else // found a unit
7679 {
7680 Entry *pTemp=new Entry;
7681 initEntry(pTemp);
7682 QCString tt(str);
7683 QStringList ql=QStringList::split("=",tt,FALSE);
7684 pTemp->spec = VhdlDocGen::UNITS;
7685 pTemp->section = Entry::VARIABLE_SEC;
7686 pTemp->startLine = line;
7687 pTemp->bodyLine = line;
7688 pTemp->brief = brief; // adds brief description to the unit member
7689 pTemp->doc = doc; // adds doc to the unit member
7690 pTemp->type = ql[1];
7691 pTemp->name = ql[0].stripWhiteSpace();
7692 pTemp->name.prepend(VhdlDocGen::getRecordNumber());
7693 delete current;
7694 current = new Entry(*pTemp); // make a deep copy
7695 newEntry(); // add it to lastCompound
7696 delete pTemp;
7697 }
7698}
7699
7700/*
7701 * this function parses a process prototype
7702 * and adds the signal to the process
7703 */
7704
7705static void parseProcessProto()
7706{
7707 QStringList ql;
7708 QCString qcs;
7709 bool sem=FALSE;
7710 //Entry* ppEntry=new Entry;
7711 //ppEntry->fileName=yyFileName;
7712 //processEntry=ppEntry;
7713 QCString name;
7714 scantype=0;
7715 getBufText(qcs,0);
7716 if (qcs.contains('(') != qcs.contains(')')) return;
7717 VhdlDocGen::deleteAllChars(qcs,'\n');
7718 VhdlDocGen::parseProcessProto(qcs,name,ql);
7719 current->section=Entry::FUNCTION_SEC;
7720 //current->stat=TRUE;
7721 current->spec=VhdlDocGen::PROCESS;
7722 current->startLine=iFuncLine;
7723 current->bodyLine=iFuncLine;
7724 current->fileName=yyFileName;
7725 if (!name.isEmpty())
7726 {
7727 current->name=name.stripWhiteSpace();
7728 }
7729 else // found an anonymous process, so we add a generated name
7730 {
7731 current->name=VhdlDocGen::getProcessNumber();
7732 }
7733
7734 current->args+=" ( ";
7735 if (!ql.isEmpty())
7736 {
7737 QValueList<QString>::Iterator iter = ql.begin();
7738 for ( ; iter != ql.end(); ++iter)
7739 {
7740 if (sem)
7741 {
7742 current->args+=',';
7743 }
7744 Argument *arg=new Argument;
7745 arg->name=((QCString)*iter).stripWhiteSpace();
7746 current->argList->append(arg);
7747 current->args+=(QCString)*iter;
7748 sem = TRUE;
7749 }
7750 }
7751 current->args+=" ) ";
7752 bufferClear();
7753}//parseProcessProto
7754
7755
7756/*
7757 * parses a function|procedure protoype
7758 */
7759
7760static void parseFunctionProto()
7761{
7762 QCString name,ret,qcs,temp;
7763 bool sem=FALSE;
7764 QList<Argument> ql;
7765 ql.setAutoDelete(TRUE);
7766 getBufText(qcs,0);
7767 if (qcs.contains('(') != qcs.contains(')'))
7768 return; // function without a prototype
7769 if (qcs.contains("function",FALSE)==0 && qcs.contains("procedure",FALSE)==0)
7770 return;
7771 qcs=qcs.stripWhiteSpace();
7772 temp=qcs.lower();
7773 if (temp.stripPrefix("impure"))
7774 {
7775 current->exception="impure";
7776 qcs=qcs.remove(0,6);
7777 }
7778 else if (temp.stripPrefix("pure"))
7779 {
7780 current->exception="pure";
7781 qcs=qcs.remove(0,4);
7782 }
7783
7784 VhdlDocGen::parseFuncProto(qcs.data(),ql,name,ret);
7785 //printf("parseFuncProto(%s)=%s,%s\n",qcs.data(),name.data(),ret.data());
7786 VhdlDocGen::deleteAllChars(name,';');
7787 current->name=name;
7788 current->startLine=iFuncLine;
7789 current->bodyLine=iFuncLine;
7790
7791 int count = ql.count();
7792
7793 current->args+" ( ";
7794 for (int k=0;k<count;k++)
7795 {
7796 if (sem)
7797 {
7798 current->args+=",";
7799 }
7800 Argument *arg=new Argument;
7801 Argument *hh=(Argument*)ql.at(k);
7802 arg->name=hh->name;
7803 arg->type=hh->type;
7804 arg->defval=hh->defval;
7805 arg->attrib=hh->attrib;
7806 current->argList->append(arg);
7807 current->args+=hh->name;
7808 sem=TRUE;
7809 }
7810 current->args+" )";
7811
7812 if (!ret.isEmpty())
7813 current->spec=VhdlDocGen::FUNCTION;
7814 else
7815 current->spec=VhdlDocGen::PROCEDURE;
7816
7817 current->section=Entry::FUNCTION_SEC;
7818 current->type=ret;
7819 //addSubEntry(ee,ppEntry);
7820 if (lastCompound)
7821 {
7822 lastCompound->addSubEntry(current);
7823 current = new Entry;
7824 initEntry(current);
7825 }
7826 else
7827 {
7828 newEntry();
7829 }
7830 bufferClear();
7831}//parseFunctionProto
7832
7833static Entry* getEntryAtLine(const Entry* ce,int line)
7834{
7835 EntryListIterator eli(*ce->children());
7836 Entry *found=0;
7837 Entry *rt;
7838 for (;(rt=eli.current());++eli)
7839 {
7840 if (rt->bodyLine==line)
7841 {
7842 found=rt;
7843 } // if
7844 if (!found)
7845 {
7846 found=getEntryAtLine(rt,line);
7847 }
7848 }
7849 return found;
7850}// getEntryAtLine
7851
7852//-------------------------------------------------------------------------
7853
7854static void parserInit()
7855{
7856 iCounter=0;
7857 iTextCounter=0;
7858 yyLineNr=1;
7859 current=0;
7860 previous=0;
7861 isFunc=0;
7862 isBody=0;
7863 scantype=0;
7864 lastCompound=0;
7865 lastEntity=0;
7866 bropen=0;
7867 openGroups=0;
7868 iDocLine=-1;
7869 qrl.clear();
7870 num_chars=0;
7871
7872 if (!g_lexInit)
7873 {
7874 VhdlDocGen::init();
7875 }
7876
7877 g_bufSize=inputFile.size()+1024;
7878 if (g_buf==0) free(g_buf);
7879 g_buf=(char*)(calloc(g_bufSize,sizeof(char)));
7880
7881 if (g_buf==0)
7882 {
7883 fprintf(stderr,"\n not enough memory");
7884 return;
7885 }
7886 g_buf[g_bufSize-1]='\0';
7887}
7888
7889bool VHDLLanguageScanner::needsPreprocessing(const QCString &)
7890{
7891 return FALSE;
7892}
7893
7894
7895void VHDLLanguageScanner::resetCodeParserState()
7896{
7897
7898}
7899
7900#undef YY_INPUT
7901#define YY_INPUT(buf,result,max_size) result=yyread(buf,max_size);
7902
7903static int yyread(char *buf,int max_size)
7904{
7905 int c=0;
7906 if (g_inputFromFile)
7907 {
7908 c = inputFile.readBlock(buf,max_size);
7909 if (c==-1) yy_fatal_error("input in flex scanner failed");
7910 }
7911 else
7912 {
7913 while ( c < max_size && inputString[inputPosition] )
7914 {
7915 *buf = inputString[inputPosition++] ;
7916 c++;
7917 buf++;
7918 }
7919 }
7920 return c;
7921}
7922
7923
7924
7925/* start command character */
7926/* -------------- VHDL SECTION -----------------------------------*/
7927/* Removed due to bug 538239
7928POST "postponed"
7929PROCESS ({BR}*{FUNCNAME}{B}*[:]{BR}*({POST}{BR}+)?("process"){BR}*{PROTO})|("process"){BR}*("("){BR}*{PROTO}|[^a-zA-Z]("process"){CR}|[^a-zA-Z]("process"){BR}+("is")
7930*/
7931/* VHDL 2001 */
7932/* language parsing states */
7933
7934
7935
7936
7937
7938
7939
7940
7941
7942
7943
7944
7945
7946
7947
7948#line 7949 "<stdout>"
7949
7950#define INITIAL 0
7951#define Start 1
7952#define Comment 2
7953#define FindTypeName 3
7954#define ParseType 4
7955#define ParseRecord 5
7956#define ParseUnits 6
7957#define ParseProcess 7
7958#define ParseFunc 8
7959#define FindName 9
7960#define FindEntityName 10
7961#define FindGenPort 11
7962#define FindTypes 12
7963#define FindSigName 13
7964#define FindFuncName 14
7965#define FindBegin 15
7966
7967#ifndef YY_NO_UNISTD_H
7968/* Special case for "unistd.h", since it is non-ANSI. We include it way
7969 * down here because we want the user's section 1 to have been scanned first.
7970 * The user has a chance to override it with an option.
7971 */
7972#include <unistd.h>
7973#endif
7974
7975#ifndef YY_EXTRA_TYPE
7976#define YY_EXTRA_TYPE void *
7977#endif
7978
7979static int yy_init_globals (void );
7980
7981/* Accessor methods to globals.
7982 These are made visible to non-reentrant scanners for convenience. */
7983
7984int vhdlscanYYlex_destroy (void );
7985
7986int vhdlscanYYget_debug (void );
7987
7988void vhdlscanYYset_debug (int debug_flag );
7989
7990YY_EXTRA_TYPE vhdlscanYYget_extra (void );
7991
7992void vhdlscanYYset_extra (YY_EXTRA_TYPE user_defined );
7993
7994FILE *vhdlscanYYget_in (void );
7995
7996void vhdlscanYYset_in (FILE * in_str );
7997
7998FILE *vhdlscanYYget_out (void );
7999
8000void vhdlscanYYset_out (FILE * out_str );
8001
8002yy_size_t vhdlscanYYget_leng (void );
8003
8004char *vhdlscanYYget_text (void );
8005
8006int vhdlscanYYget_lineno (void );
8007
8008void vhdlscanYYset_lineno (int line_number );
8009
8010/* Macros after this point can all be overridden by user definitions in
8011 * section 1.
8012 */
8013
8014#ifndef YY_SKIP_YYWRAP
8015#ifdef __cplusplus
8016extern "C" int vhdlscanYYwrap (void );
8017#else
8018extern int vhdlscanYYwrap (void );
8019#endif
8020#endif
8021
8022 static void yyunput (int c,char *buf_ptr );
8023
8024#ifndef yytext_ptr
8025static void yy_flex_strncpy (char *,yyconst char *,int );
8026#endif
8027
8028#ifdef YY_NEED_STRLEN
8029static int yy_flex_strlen (yyconst char * );
8030#endif
8031
8032#ifndef YY_NO_INPUT
8033
8034#ifdef __cplusplus
8035static int yyinput (void );
8036#else
8037static int input (void );
8038#endif
8039
8040#endif
8041
8042/* Amount of stuff to slurp up with each read. */
8043#ifndef YY_READ_BUF_SIZE
8044#define YY_READ_BUF_SIZE 262144
8045#endif
8046
8047/* Copy whatever the last rule matched to the standard output. */
8048#ifndef ECHO
8049/* This used to be an fputs(), but since the string might contain NUL's,
8050 * we now use fwrite().
8051 */
8052#define ECHO fwrite( vhdlscanYYtext, vhdlscanYYleng, 1, vhdlscanYYout )
8053#endif
8054
8055/* Gets input and stuffs it into "buf". number of characters read, or YY_NULL,
8056 * is returned in "result".
8057 */
8058#ifndef YY_INPUT
8059#define YY_INPUT(buf,result,max_size) \
8060if ( YY_CURRENT_BUFFER_LVALUE->yy_is_interactive ) \
8061{ \
8062int c = '*'; \
8063yy_size_t n; \
8064for ( n = 0; n < max_size && \
8065 (c = getc( vhdlscanYYin )) != EOF && c != '\n'; ++n ) \
8066buf[n] = (char) c; \
8067if ( c == '\n' ) \
8068buf[n++] = (char) c; \
8069if ( c == EOF && ferror( vhdlscanYYin ) ) \
8070YY_FATAL_ERROR( "input in flex scanner failed" ); \
8071result = n; \
8072} \
8073else \
8074{ \
8075errno=0; \
8076while ( (result = fread(buf, 1, max_size, vhdlscanYYin))==0 && ferror(vhdlscanYYin)) \
8077{ \
8078if( errno != EINTR) \
8079{ \
8080YY_FATAL_ERROR( "input in flex scanner failed" ); \
8081break; \
8082} \
8083errno=0; \
8084clearerr(vhdlscanYYin); \
8085} \
8086}\
8087\
8088
8089#endif
8090
8091/* No semi-colon after return; correct usage is to write "yyterminate();" -
8092 * we don't want an extra ';' after the "return" because that will cause
8093 * some compilers to complain about unreachable statements.
8094 */
8095#ifndef yyterminate
8096#define yyterminate() return YY_NULL
8097#endif
8098
8099/* Number of entries by which start-condition stack grows. */
8100#ifndef YY_START_STACK_INCR
8101#define YY_START_STACK_INCR 25
8102#endif
8103
8104/* Report a fatal error. */
8105#ifndef YY_FATAL_ERROR
8106#define YY_FATAL_ERROR(msg) yy_fatal_error( msg )
8107#endif
8108
8109/* end tables serialization structures and prototypes */
8110
8111/* Default declaration of generated scanner - a define so the user can
8112 * easily add parameters.
8113 */
8114#ifndef YY_DECL
8115#define YY_DECL_IS_OURS 1
8116
8117extern int vhdlscanYYlex (void);
8118
8119#define YY_DECL int vhdlscanYYlex (void)
8120#endif /* !YY_DECL */
8121
8122/* Code executed at the beginning of each rule, after vhdlscanYYtext and vhdlscanYYleng
8123 * have been set up.
8124 */
8125#ifndef YY_USER_ACTION
8126#define YY_USER_ACTION
8127#endif
8128
8129/* Code executed at the end of each rule. */
8130#ifndef YY_BREAK
8131#define YY_BREAK break;
8132#endif
8133
8134#define YY_RULE_SETUP \
8135if ( vhdlscanYYleng > 0 ) \
8136YY_CURRENT_BUFFER_LVALUE->yy_at_bol = \
8137(vhdlscanYYtext[vhdlscanYYleng - 1] == '\n'); \
8138YY_USER_ACTION
8139
8140/** The main scanner function which does all the work.
8141 */
8142YY_DECL
8143{
8144register yy_state_type yy_current_state;
8145register char *yy_cp, *yy_bp;
8146register int yy_act;
8147
8148#line 691 "vhdlscanner.l"
8149
8150
8151#line 8152 "<stdout>"
8152
8153if ( !(yy_init) )
8154{
8155(yy_init) = 1;
8156
8157#ifdef YY_USER_INIT
8158YY_USER_INIT;
8159#endif
8160
8161 /* Create the reject buffer large enough to save one state per allowed character. */
8162 if ( ! (yy_state_buf) )
8163 (yy_state_buf) = (yy_state_type *)vhdlscanYYalloc(YY_STATE_BUF_SIZE );
8164 if ( ! (yy_state_buf) )
8165 YY_FATAL_ERROR( "out of dynamic memory in vhdlscanYYlex()" );
8166
8167if ( ! (yy_start) )
8168(yy_start) = 1;/* first start state */
8169
8170if ( ! vhdlscanYYin )
8171vhdlscanYYin = stdin;
8172
8173if ( ! vhdlscanYYout )
8174vhdlscanYYout = stdout;
8175
8176if ( ! YY_CURRENT_BUFFER ) {
8177vhdlscanYYensure_buffer_stack ();
8178YY_CURRENT_BUFFER_LVALUE =
8179vhdlscanYY_create_buffer(vhdlscanYYin,YY_BUF_SIZE );
8180}
8181
8182vhdlscanYY_load_buffer_state( );
8183}
8184
8185while ( 1 )/* loops until end-of-file is reached */
8186{
8187yy_cp = (yy_c_buf_p);
8188
8189/* Support of vhdlscanYYtext. */
8190*yy_cp = (yy_hold_char);
8191
8192/* yy_bp points to the position in yy_ch_buf of the start of
8193 * the current run.
8194 */
8195yy_bp = yy_cp;
8196
8197yy_current_state = (yy_start);
8198yy_current_state += YY_AT_BOL();
8199
8200(yy_state_ptr) = (yy_state_buf);
8201*(yy_state_ptr)++ = yy_current_state;
8202
8203yy_match:
8204do
8205{
8206register YY_CHAR yy_c = yy_ec[YY_SC_TO_UI(*yy_cp)];
8207while ( yy_chk[yy_base[yy_current_state] + yy_c] != yy_current_state )
8208{
8209yy_current_state = (int) yy_def[yy_current_state];
8210if ( yy_current_state >= 1861 )
8211yy_c = yy_meta[(unsigned int) yy_c];
8212}
8213yy_current_state = yy_nxt[yy_base[yy_current_state] + (unsigned int) yy_c];
8214*(yy_state_ptr)++ = yy_current_state;
8215++yy_cp;
8216}
8217while ( yy_base[yy_current_state] != 27243 );
8218
8219yy_find_action:
8220yy_current_state = *--(yy_state_ptr);
8221(yy_lp) = yy_accept[yy_current_state];
8222goto find_rule; /* Shut up GCC warning -Wall */
8223find_rule: /* we branch to this label when backing up */
8224for ( ; ; ) /* until we find what rule we matched */
8225{
8226if ( (yy_lp) && (yy_lp) < yy_accept[yy_current_state + 1] )
8227{
8228yy_act = yy_acclist[(yy_lp)];
8229if ( yy_act & YY_TRAILING_HEAD_MASK ||
8230 (yy_looking_for_trail_begin) )
8231{
8232if ( yy_act == (yy_looking_for_trail_begin) )
8233{
8234(yy_looking_for_trail_begin) = 0;
8235yy_act &= ~YY_TRAILING_HEAD_MASK;
8236break;
8237}
8238}
8239else if ( yy_act & YY_TRAILING_MASK )
8240{
8241(yy_looking_for_trail_begin) = yy_act & ~YY_TRAILING_MASK;
8242(yy_looking_for_trail_begin) |= YY_TRAILING_HEAD_MASK;
8243}
8244else
8245{
8246(yy_full_match) = yy_cp;
8247(yy_full_state) = (yy_state_ptr);
8248(yy_full_lp) = (yy_lp);
8249break;
8250}
8251++(yy_lp);
8252goto find_rule;
8253}
8254--yy_cp;
8255yy_current_state = *--(yy_state_ptr);
8256(yy_lp) = yy_accept[yy_current_state];
8257}
8258
8259YY_DO_BEFORE_ACTION;
8260
8261do_action:/* This label is used only to access EOF actions. */
8262
8263switch ( yy_act )
8264{ /* beginning of action switch */
8265case 1:
8266/* rule 1 can match eol */
8267YY_RULE_SETUP
8268#line 693 "vhdlscanner.l"
8269{
8270 lineCount();
8271}
8272YY_BREAK
8273case 2:
8274/* rule 2 can match eol */
8275YY_RULE_SETUP
8276#line 699 "vhdlscanner.l"
8277{ // found configuration
8278
8279 QCString qcs(vhdlscanYYtext);
8280 current->name=VhdlDocGen::getIndexWord(qcs,1);
8281 current->type=VhdlDocGen::getIndexWord(qcs,3);
8282 current->startLine=yyLineNr;
8283 current->bodyLine=yyLineNr;
8284 current->section=Entry::VARIABLE_SEC;
8285 current->spec=VhdlDocGen::CONFIG;
8286 current->args="configuration";
8287 newEntry();
8288 BEGIN(Start);
8289}
8290YY_BREAK
8291case 3:
8292/* rule 3 can match eol */
8293YY_RULE_SETUP
8294#line 713 "vhdlscanner.l"
8295{ // found type constant|type|attribute and so on..
8296 bropen=0;
8297 lineCount();
8298
8299 bufferClear();
8300 //pEntry=current;
8301 getType(current,vhdlscanYYtext);
8302 current->bodyLine=yyLineNr;
8303 if (current->spec==VhdlDocGen::UNITS)
8304 {
8305 //addSubEntry(current,pEntry);
8306 current->startLine=yyLineNr;
8307 current->bodyLine=yyLineNr;
8308 newEntry(); // adds the unit to the lastCompound
8309 genPort=3;
8310 BEGIN(ParseRecord);
8311 }
8312 else
8313 {
8314 BEGIN(FindTypeName);
8315 }
8316 }
8317YY_BREAK
8318case 4:
8319/* rule 4 can match eol */
8320YY_RULE_SETUP
8321#line 736 "vhdlscanner.l"
8322{ //found architecure
8323 lineCount();
8324 bropen=0;
8325 bufferClear();
8326 isBody=0;
8327 lastCompound = current;
8328 QCString curName=VhdlDocGen::getIndexWord(vhdlscanYYtext,1);
8329 current->section=Entry::CLASS_SEC; //Entry::CLASS_SEC;
8330 current->spec=VhdlDocGen::ARCHITECTURE;
8331 current->protection=Private;
8332 current->name=curName;
8333 current->fileName=yyFileName;
8334 current->startLine=yyLineNr;
8335 current->bodyLine=yyLineNr;
8336 //printf("-> Architecture at line %d\n",yyLineNr);
8337 BEGIN(FindName);
8338}
8339YY_BREAK
8340case 5:
8341/* rule 5 can match eol */
8342YY_RULE_SETUP
8343#line 755 "vhdlscanner.l"
8344{ //found process
8345 lineCount();
8346 iFuncLine=yyLineNr;
8347 bropen=0;
8348 //printf("--> Process: line=%d\n",yyLineNr);
8349 bufferClear();
8350 addText(vhdlscanYYtext,vhdlscanYYleng);
8351 QCString qcs(vhdlscanYYtext);
8352 if (qcs.contains('('))
8353 {
8354 bropen=1;
8355 scantype=2;
8356 BEGIN(ParseType);
8357 }
8358 else
8359 {
8360 // iFuncLine--;
8361 parseProcessProto();
8362 BEGIN(ParseProcess);
8363 }
8364}
8365YY_BREAK
8366case 6:
8367/* rule 6 can match eol */
8368YY_RULE_SETUP
8369#line 777 "vhdlscanner.l"
8370{ // found library or package
8371 bropen=0;
8372 bufferClear();
8373 isBody=0;
8374 QCString qcs=QCString(vhdlscanYYtext);
8375 // lowerString(qcs);
8376 qcs=qcs.stripWhiteSpace();
8377 if (stricmp(qcs.data(),"use")==0)
8378 {
8379 current->spec=VhdlDocGen::USE;
8380 current->type="package";
8381 }
8382 else
8383 {
8384 current->spec=VhdlDocGen::LIBRARY;
8385 current->type="library";
8386 }
8387 current->section=Entry::VARIABLE_SEC;
8388 current->bodyLine=yyLineNr;
8389 lineCount();
8390 BEGIN(FindName);
8391}
8392YY_BREAK
8393case 7:
8394/* rule 7 can match eol */
8395YY_RULE_SETUP
8396#line 800 "vhdlscanner.l"
8397{ // found a new function|procedure
8398 lineCount();
8399 iFuncLine=yyLineNr;
8400 bropen=0;
8401 bufferClear();
8402 isFunc=1;
8403 addText(vhdlscanYYtext,vhdlscanYYleng);
8404 BEGIN(FindFuncName);
8405}
8406YY_BREAK
8407case 8:
8408/* rule 8 can match eol */
8409YY_RULE_SETUP
8410#line 810 "vhdlscanner.l"
8411{ // found entity|component|package
8412 lineCount();
8413 //printf("--> Entity at line %d\n",yyLineNr);
8414
8415 bropen=0;
8416 bufferClear();
8417 QCString word(vhdlscanYYtext);
8418 word=word.lower();
8419 word=word.stripWhiteSpace();
8420
8421 if (strcmp(word.data(),"entity")==0)
8422 {
8423 isBody=0;
8424 scantype=0;
8425 lastCompound=0;
8426 current->section=Entry::CLASS_SEC;
8427 current->spec=VhdlDocGen::ENTITY;
8428 current->protection=Public;
8429 current->bodyLine=yyLineNr;
8430 current->fileName=yyFileName;
8431 lastEntity = current;
8432 }
8433 else if (strcmp(word.data(),"component")==0)
8434 {
8435 current->section=Entry::VARIABLE_SEC;
8436 // current->stat=TRUE;
8437 current->spec=VhdlDocGen::COMPONENT;
8438 current->bodyLine=yyLineNr;
8439 scantype=1;
8440 }
8441 else if (strcmp(word,"package")==0)
8442 {
8443 isBody=0;
8444 scantype=0;
8445 lastCompound = current;
8446 current->section=Entry::CLASS_SEC;
8447 current->spec=VhdlDocGen::PACKAGE;
8448 current->protection=Package; //VhdlDocGen::PACKAGE;
8449 current->bodyLine=yyLineNr;
8450 current->fileName=yyFileName;
8451 }
8452 else
8453 err("\n found wrong component at line [%d]",yyLineNr);
8454
8455 BEGIN(FindEntityName);
8456}
8457YY_BREAK
8458case 9:
8459/* rule 9 can match eol */
8460YY_RULE_SETUP
8461#line 857 "vhdlscanner.l"
8462{ // found component instantiation
8463
8464 // lineCount();
8465 QCString type;
8466 QCString tt(vhdlscanYYtext);
8467 QRegExp regg("[\\s:.()-]");
8468 QStringList qsl=QStringList::split(regg,tt,false);
8469
8470 // consider upper/lower-case letters
8471 QStringList qsltemp=QStringList::split(regg,tt.lower(),false);
8472 int index=qsltemp.findIndex(QCString("entity"))+1;
8473 index+=qsltemp.findIndex(QCString("component"))+1;
8474 index+=qsltemp.findIndex(QCString("configuration"))+1;
8475 int len=qsltemp.count();
8476
8477 current->spec=VhdlDocGen::COMPONENT_INST;
8478 current->section=Entry::VARIABLE_SEC;
8479 current->startLine=yyLineNr;
8480 current->bodyLine=yyLineNr;
8481
8482 if (index!=0 && tt.contains(')')==0) // found component instantiation xxx: configuration/component/entity yyy
8483 {
8484 current->type=(QCString)qsl[len-3];
8485 }
8486 else if (index!=0 && tt.contains(')')) // found component instantiation xxx: entity www.yyy(zzz)
8487 {
8488 current->type=(QCString)qsl[len-4];
8489 }
8490 else
8491 {
8492 current->type=(QCString)qsl[1]; // found component instantiation xxx:yyy
8493 }
8494
8495 current->name=QCString(qsl[0]);
8496 if (lastCompound)
8497 {
8498 if (!VhdlDocGen::foundInsertedComponent(current->type,lastCompound))
8499 {
8500 BaseInfo *bb=new BaseInfo(current->type,Public,Normal);
8501 lastCompound->extends->append(bb);
8502 }
8503 lastCompound->addSubEntry(current);
8504 current = new Entry;
8505 initEntry(current);
8506 }
8507 else
8508 {
8509 newEntry();
8510 }
8511 lineCount();
8512
8513}
8514YY_BREAK
8515case 10:
8516/* rule 10 can match eol */
8517YY_RULE_SETUP
8518#line 910 "vhdlscanner.l"
8519{
8520 lineCount();
8521 addText(vhdlscanYYtext,vhdlscanYYleng);
8522 BEGIN(Start);
8523}
8524YY_BREAK
8525case 11:
8526/* rule 11 can match eol */
8527YY_RULE_SETUP
8528#line 916 "vhdlscanner.l"
8529{
8530 // eat process body
8531 lineCount();
8532 BEGIN(ParseProcess);
8533}
8534YY_BREAK
8535case 12:
8536/* rule 12 can match eol */
8537YY_RULE_SETUP
8538#line 922 "vhdlscanner.l"
8539{ // find end of process
8540 lineCount();
8541 current->endBodyLine=yyLineNr;
8542 //printf("Process: start=%d end=%d\n",current->bodyLine,current->endBodyLine);
8543 if (lastCompound)
8544 {
8545 lastCompound->addSubEntry(current);
8546 current = new Entry;
8547 initEntry(current);
8548 }
8549 else
8550 {
8551 newEntry();
8552 }
8553 BEGIN(Start);
8554}
8555YY_BREAK
8556case 13:
8557/* rule 13 can match eol */
8558YY_RULE_SETUP
8559#line 940 "vhdlscanner.l"
8560{
8561 lineCount();
8562}
8563YY_BREAK
8564case 14:
8565YY_RULE_SETUP
8566#line 944 "vhdlscanner.l"
8567{ // parse record|unit body
8568 lineCount();
8569 QCString zz(vhdlscanYYtext);
8570 addSignals(zz.data(),yyLineNr,current);
8571 BEGIN(ParseUnits);
8572}
8573YY_BREAK
8574case 15:
8575YY_RULE_SETUP
8576#line 951 "vhdlscanner.l"
8577{ // found entity|architecture|component name
8578 lineCount();
8579
8580 QCString qcs(vhdlscanYYtext);
8581 qcs=qcs.stripWhiteSpace();
8582 if (current->spec==VhdlDocGen::USE || current->spec==VhdlDocGen::LIBRARY)
8583 {
8584 int j=qcs.length();
8585 int i=qcs.find(".");
8586 if (i>0)
8587 qcs=qcs.right(j-i-1);
8588 i=qcs.find(".");
8589 if (i>0)
8590 qcs=qcs.left(i);
8591 /*
8592 -- Consider the case we have more than one entity in one file.Each entity has its own package/library
8593 -- declaration. In this case package yyy will be added [with newEntry()] to architecture aaa !! instead to entity
8594 -- bbb. We must place these constructs to current_root and the function mapLibPackage() will finish the rest.
8595
8596 -- package xxx;
8597 -- entity aaa
8598 -- ....
8599 -- end entity aaa;
8600 -- architecture aaa
8601 -- ...
8602 -- end architecture aaa;
8603 -- package yyy;
8604 -- entity bbb;
8605 */
8606
8607 current->name=qcs;
8608 Entry *copy=new Entry(*current);
8609 current->reset();
8610 addSubEntry(current_root,copy); // insert into entry list with mapLibPackage()
8611 }
8612 else if (current->spec==VhdlDocGen::ARCHITECTURE)
8613 {
8614 //current->name+=qcs.lower();
8615 current->name.prepend(qcs+"::");
8616
8617 //if (lastEntity)
8618 //{
8619 // inherit private inheritance relation between entity and architecture
8620 //if (!VhdlDocGen::foundInsertedComponent(current->name,lastEntity))
8621 //{
8622//BaseInfo *bb=new BaseInfo(current->name,Private,Normal);
8623//lastEntity->extends->append(bb);
8624 //}
8625 //}
8626
8627 }
8628 else if (current->spec==VhdlDocGen::PACKAGE_BODY)
8629 {
8630 current->name+=qcs;
8631 }
8632 else
8633 {
8634 current->name+=qcs;
8635 }
8636 if (!(current->spec==VhdlDocGen::USE || current->spec==VhdlDocGen::LIBRARY))
8637 newEntry();
8638
8639 BEGIN(Start);
8640}
8641YY_BREAK
8642case 16:
8643YY_RULE_SETUP
8644#line 1016 "vhdlscanner.l"
8645{ // found name of a process|function|procedure
8646 lineCount();
8647
8648 addText(vhdlscanYYtext,vhdlscanYYleng);
8649 BEGIN(ParseType);
8650}
8651YY_BREAK
8652case 17:
8653/* rule 17 can match eol */
8654YY_RULE_SETUP
8655#line 1023 "vhdlscanner.l"
8656{
8657 lineCount();
8658 current->name=QCString(vhdlscanYYtext);
8659 BEGIN(ParseType);
8660}
8661YY_BREAK
8662case 18:
8663/* rule 18 can match eol */
8664YY_RULE_SETUP
8665#line 1030 "vhdlscanner.l"
8666{lineCount(); BEGIN(Start); }
8667YY_BREAK
8668case 19:
8669/* rule 19 can match eol */
8670YY_RULE_SETUP
8671#line 1032 "vhdlscanner.l"
8672{
8673 lineCount();
8674 current->section=Entry::VARIABLE_SEC;
8675 current->spec=VhdlDocGen::TYPE;
8676 current->type="protected";
8677 newEntry();
8678 BEGIN(Start);
8679}
8680YY_BREAK
8681case 20:
8682/* rule 20 can match eol */
8683YY_RULE_SETUP
8684#line 1044 "vhdlscanner.l"
8685{ // find record
8686 lineCount();
8687 if (isFunc)
8688 {
8689 BEGIN(Start);
8690 }
8691
8692 genPort=2;
8693 current->section=Entry::VARIABLE_SEC;
8694 current->spec=VhdlDocGen::RECORD;
8695 addText(vhdlscanYYtext,vhdlscanYYleng);
8696 newEntry(); // adds the record to the last compound
8697 BEGIN(ParseRecord);
8698}
8699YY_BREAK
8700case 21:
8701/* rule 21 can match eol */
8702YY_RULE_SETUP
8703#line 1059 "vhdlscanner.l"
8704{
8705 lineCount();
8706 }
8707YY_BREAK
8708case 22:
8709/* rule 22 can match eol */
8710YY_RULE_SETUP
8711#line 1063 "vhdlscanner.l"
8712{
8713 lineCount();
8714 genPort=0;
8715 bufferClear();
8716 BEGIN(Start);
8717}
8718YY_BREAK
8719case 23:
8720YY_RULE_SETUP
8721#line 1070 "vhdlscanner.l"
8722{ // parse record body
8723 lineCount();
8724 QCString comment;
8725 QCString zz(vhdlscanYYtext);
8726 VhdlDocGen::deleteAllChars(zz,';'); //delete ; in unit construct
8727 if (zz.contains("--!"))
8728 {
8729 QStringList ql=QStringList::split("--!",zz,FALSE);
8730 comment = ql[1];
8731 zz = ql[0];
8732 }
8733 else if (zz.contains("--"))
8734 {
8735 QStringList ql=QStringList::split("--",zz,FALSE);
8736 zz = ql[0];
8737 }
8738 initEntry(current);
8739 addSignals(zz,yyLineNr,current,comment);
8740 addText(vhdlscanYYtext,vhdlscanYYleng);
8741 BEGIN(ParseRecord);
8742}
8743YY_BREAK
8744case 24:
8745/* rule 24 can match eol */
8746YY_RULE_SETUP
8747#line 1092 "vhdlscanner.l"
8748{ // found a new function in an architecture ?
8749 addText(vhdlscanYYtext,vhdlscanYYleng);
8750 lineCount();
8751 QCString ttt;
8752 bool bb=TRUE;
8753 getBufText(ttt,0);
8754 if (ttt.contains("--"))
8755 {
8756 unput('-');unput('-');
8757 VhdlDocGen::deleteCharRev(ttt,'-');
8758 VhdlDocGen::deleteCharRev(ttt,'-');
8759 }
8760 if (ttt.contains('(') != ttt.contains(')'))
8761 {
8762 bb=FALSE;
8763 }
8764 bool ss = VhdlDocGen::isFunctionProto(ttt);
8765 //printf("VhdlDocGen::isFunctionProto(%s)=%d\n",ttt.data(),ss);
8766 if (ss && bb)
8767 {
8768 bufferClear();
8769 addText(ttt.data(),ttt.length());
8770 functionEntry=0;
8771 //eFuncBody=new Entry;
8772 ::parseFunctionProto();
8773 }
8774 bufferClear();
8775 BEGIN(ParseType);
8776}
8777YY_BREAK
8778case 25:
8779/* rule 25 can match eol */
8780YY_RULE_SETUP
8781#line 1123 "vhdlscanner.l"
8782{
8783 lineCount();
8784 addText(vhdlscanYYtext,vhdlscanYYleng);
8785 BEGIN(ParseType);
8786}
8787YY_BREAK
8788case 26:
8789YY_RULE_SETUP
8790#line 1129 "vhdlscanner.l"
8791{
8792 lineCount();
8793 bropen++;
8794 addText(vhdlscanYYtext,vhdlscanYYleng);
8795 BEGIN(ParseType);
8796}
8797YY_BREAK
8798case 27:
8799YY_RULE_SETUP
8800#line 1136 "vhdlscanner.l"
8801{
8802 lineCount();
8803 bropen--;
8804 addText(vhdlscanYYtext,vhdlscanYYleng);
8805 if (bropen==0 && scantype==2) // process
8806 {
8807 ::parseProcessProto();
8808 BEGIN(ParseProcess);
8809 } // if
8810 else
8811 {
8812 BEGIN(ParseType);
8813 }
8814}
8815YY_BREAK
8816case 28:
8817/* rule 28 can match eol */
8818YY_RULE_SETUP
8819#line 1152 "vhdlscanner.l"
8820{ // found end of function|process
8821 QRegExp regg("[\\s;]");
8822 lineCount();
8823 QCString tt(vhdlscanYYtext);
8824 tt=tt.lower();
8825 QStringList ql=QStringList::split(regg,tt,FALSE);
8826 int index=ql.findIndex(QCString("if"))+1;
8827 index+=ql.findIndex(QCString("case"))+1;
8828 index+=ql.findIndex(QCString("loop"))+1;
8829 index+=ql.findIndex(QCString("generate"))+1;
8830 bufferClear();
8831 if (index==0)
8832 {
8833 if (isFunc)
8834 {
8835 Entry* pFunc=getEntryAtLine(current_root,iFuncLine);
8836 if (pFunc && pFunc->section==Entry::FUNCTION_SEC)
8837 {
8838pFunc->endBodyLine=yyLineNr;
8839 }
8840 isFunc=0;
8841 BEGIN(Start);
8842 }
8843 }
8844}
8845YY_BREAK
8846case 29:
8847/* rule 29 can match eol */
8848YY_RULE_SETUP
8849#line 1178 "vhdlscanner.l"
8850{
8851 // eat process body
8852 lineCount();
8853 BEGIN(ParseFunc);
8854 }
8855YY_BREAK
8856case 30:
8857/* rule 30 can match eol */
8858YY_RULE_SETUP
8859#line 1184 "vhdlscanner.l"
8860{
8861 QRegExp regg("[\\s;]");
8862 lineCount();
8863 QCString tt(vhdlscanYYtext);
8864 tt=tt.lower();
8865 QStringList ql=QStringList::split(regg,tt,FALSE);
8866 int index=ql.findIndex(QCString("if"))+1;
8867 index+=ql.findIndex(QCString("case"))+1;
8868 index+=ql.findIndex(QCString("loop"))+1;
8869 index+=ql.findIndex(QCString("generate"))+1;
8870 bufferClear();
8871 if (index==0 && isFunc)
8872 {
8873 Entry* pFunc=getEntryAtLine(current_root,iFuncLine);
8874 if (pFunc && pFunc->section==Entry::FUNCTION_SEC)
8875 {
8876 pFunc->endBodyLine=yyLineNr;
8877 }
8878 isFunc=0;
8879 BEGIN(Start);
8880 }
8881}
8882YY_BREAK
8883case 31:
8884YY_RULE_SETUP
8885#line 1207 "vhdlscanner.l"
8886{
8887 lineCount();
8888 addText(vhdlscanYYtext,vhdlscanYYleng);
8889 if (bropen==0 && !(isFunc==1 && isBody==1) )
8890 {
8891 if (isFunc)
8892 {
8893 parseFunctionProto();
8894 bufferClear();
8895 if (lastCompound && lastCompound->spec==VhdlDocGen::PACKAGE)
8896 {
8897 isFunc=0;
8898 BEGIN(Start);
8899 }
8900 else
8901 {
8902 BEGIN(ParseFunc);
8903 }
8904 }//if
8905 else
8906 {
8907 QCString qcs;
8908 getBufText(qcs,0);
8909 qcs=qcs.stripWhiteSpace();
8910 current->section=Entry::VARIABLE_SEC;
8911 current->type+=qcs.data();
8912
8913 if ((current->spec==VhdlDocGen::SIGNAL ||
8914 current->spec==VhdlDocGen::CONSTANT ||
8915 current->spec==VhdlDocGen::TYPE ||
8916 current->spec==VhdlDocGen::SUBTYPE ||
8917 current->spec==VhdlDocGen::SHAREDVARIABLE
8918 ) &&
8919 qcs.stripPrefix(","))
8920 {
8921QList<QCString> ql;
8922ql.setAutoDelete(TRUE);
8923QCString buffer;
8924if (current->spec==VhdlDocGen::SUBTYPE ||
8925 current->spec==VhdlDocGen::TYPE
8926 )
8927{
8928 VhdlDocGen::getSigTypeName(ql,qcs.data(),buffer);
8929}
8930else
8931{
8932 VhdlDocGen::getSigName(ql,qcs.data(),buffer);
8933}
8934QCString doc = current->doc;
8935QCString brief = current->brief;
8936if (ql.count()>0)
8937{
8938 for (uint j=1;j<ql.count();j++)
8939 {
8940 Entry *ppt = new Entry;
8941 initEntry(ppt);
8942 ppt->type += ql.at(0)->data();
8943 ppt->section = Entry::VARIABLE_SEC;
8944 ppt->spec = current->spec;
8945 ppt->name += ql.at(j)->data();
8946 ppt->bodyLine = yyLineNr;
8947 ppt->startLine = yyLineNr;
8948 ppt->brief = brief;
8949 ppt->doc = doc;
8950 if (lastCompound)
8951 {
8952 lastCompound->addSubEntry(ppt);
8953 }
8954 else
8955 {
8956 current->addSubEntry(ppt);
8957 }
8958 }
8959 current->type=ql.at(0)->data();
8960 ql.clear();
8961}
8962 }
8963 if (lastCompound)
8964 {
8965lastCompound->addSubEntry(current);
8966current = new Entry;
8967initEntry(current);
8968 }
8969 else
8970 {
8971newEntry();
8972 }
8973 isFunc=0;
8974 bufferClear();
8975 BEGIN(Start);
8976 }
8977 }
8978 else
8979 {
8980 BEGIN(ParseType);
8981 }
8982}
8983YY_BREAK
8984case 32:
8985/* rule 32 can match eol */
8986YY_RULE_SETUP
8987#line 1305 "vhdlscanner.l"
8988{
8989 lineCount();
8990 addText(vhdlscanYYtext,vhdlscanYYleng);
8991 BEGIN(ParseType);
8992}
8993YY_BREAK
8994case 33:
8995YY_RULE_SETUP
8996#line 1311 "vhdlscanner.l"
8997{ // found name of an entity/architecture/package
8998 lineCount();
8999 QCString qcs(vhdlscanYYtext);
9000 qcs=qcs.stripWhiteSpace();
9001 qcs=qcs.lower();
9002 if (strcmp(qcs.data(),"body")==0) // found package body
9003 {
9004 current->spec=VhdlDocGen::PACKAGE_BODY;
9005 current->section=Entry::CLASS_SEC;
9006 current->protection=Protected;
9007 current->name+=QCString("_");
9008 isBody=1;
9009 BEGIN(FindName);
9010 }
9011 else if (scantype==1) // found a component
9012 {
9013 QCString qq(vhdlscanYYtext);
9014 qq=qq.stripWhiteSpace();
9015 //qq=qq.lower();
9016
9017 current->name=qq;
9018 qq=qq.lower();
9019 if (lastCompound)
9020 {
9021 if (lastCompound->spec==VhdlDocGen::PACKAGE)
9022 {
9023 if (!VhdlDocGen::foundInsertedComponent(qq,lastCompound))
9024 {
9025 BaseInfo *bb=new BaseInfo(qq,Private,Normal);
9026 lastCompound->extends->append(bb);
9027 }
9028 }
9029
9030 lastCompound->addSubEntry(current);
9031 current = new Entry;
9032 initEntry(current);
9033 }
9034 else
9035 {
9036 newEntry();
9037 }
9038 BEGIN(Start);
9039 }
9040 else
9041 {
9042 QCString qq(vhdlscanYYtext);
9043 qq=qq.stripWhiteSpace();
9044 current->name=qq;
9045 newEntry();
9046 //QCString qreal=QCString(vhdlscanYYtext);
9047 BEGIN(Start);
9048 }
9049}
9050YY_BREAK
9051case 34:
9052/* rule 34 can match eol */
9053YY_RULE_SETUP
9054#line 1365 "vhdlscanner.l"
9055{ // found generic|port in entity
9056 QCString genp(vhdlscanYYleng+1);
9057 deleteSpecChars(vhdlscanYYtext,genp.data());
9058 VhdlDocGen::deleteCharRev(genp,'(');
9059
9060 if (stricmp(genp.data(),"port" )==0)
9061 {
9062 genPort=1;
9063 }
9064 else
9065 {
9066 genPort=0;
9067 }
9068
9069 bropen=1;
9070 bufferClear();
9071 lineCount();
9072 BEGIN(FindSigName);
9073}
9074YY_BREAK
9075case 35:
9076YY_RULE_SETUP
9077#line 1385 "vhdlscanner.l"
9078{
9079 lineCount();
9080 bropen--;
9081 addText(vhdlscanYYtext,vhdlscanYYleng);
9082 if (bropen==0)
9083 {
9084 bufferClear();
9085 BEGIN(Start);
9086 }
9087 else
9088 {
9089 BEGIN(FindSigName);
9090 }
9091}
9092YY_BREAK
9093case 36:
9094/* rule 36 can match eol */
9095YY_RULE_SETUP
9096#line 1400 "vhdlscanner.l"
9097{ // found signals in entity
9098 QCString line(vhdlscanYYtext);
9099
9100 // note that line can be something like:
9101 // "var1, var2, var3 : in std_logic_vector(8 downto 0); --! Some comment"
9102
9103 // but also
9104 // "var4 --! Some comment
9105 // );"
9106 // which marks the end of a port
9107
9108 // and also
9109 // "-- Some comment
9110 // var1 : in std_logic;"
9111
9112 //printf("--> labelid='%s'\n",line.data());
9113 QStringList ql;
9114 QCString comment;
9115 int openCount=line.contains('(');
9116 int closeCount=line.contains(')');
9117 int semi = line.find(';');
9118 int pos = line.find("--");
9119 int pos1 = line.find("--!");
9120 if (pos!=-1 && pos<pos1) // strip normal comment before special one
9121 {
9122 line = line.remove(pos,pos1-pos);
9123 }
9124 //printf("=> signal: line='%s'\n",line.data());
9125 if (semi!=-1 && pos!=-1)
9126 {
9127 int eol = line.findRev('\n');
9128 //printf("pos=%d eol=%d\n",pos,eol);
9129 if (eol>=pos+2)
9130 {
9131 QRegExp re("\\n[\\s]*--!"); // comment continuation
9132 comment=line.mid(pos+2,eol-pos-2);
9133 //printf("Comment: '%s'\n",comment.data());
9134 int p,l;
9135 while ((p=re.match(comment,0,&l))!=-1)
9136 {
9137comment.remove(p,l);
9138 }
9139 line=line.left(pos)+line.right(line.length()-eol);
9140 }
9141 else
9142 {
9143 comment=line.mid(pos+2);
9144 line=line.left(pos);
9145 }
9146 comment.stripWhiteSpace();
9147 // must subtract "(" and ")" in comments because they are used for determining the
9148 // end of a port/generic construct
9149 openCount-=comment.contains('(');
9150 closeCount-=comment.contains(')');
9151 if (!comment.stripPrefix("!")) // not a special comment
9152 {
9153 comment.resize(0);
9154 }
9155 }
9156 else
9157 {
9158 //printf("no ; or --: pos=%d semi=%d\n",pos,semi);
9159 }
9160 int diff=openCount-closeCount;
9161 if (diff<0)
9162 {
9163 VhdlDocGen::deleteCharRev(line,')');
9164 }
9165
9166 if (scantype!=1) // not a component
9167 {
9168 addText(vhdlscanYYtext,vhdlscanYYleng);
9169 addSignals(line,yyLineNr,lastEntity,comment);
9170 }
9171
9172 lineCount();
9173
9174 if ((bropen+openCount-closeCount)==0)
9175 {
9176 bufferClear();
9177 BEGIN(Start);
9178 }
9179}
9180YY_BREAK
9181case 37:
9182YY_RULE_SETUP
9183#line 1485 "vhdlscanner.l"
9184{
9185 lineCount();
9186 bropen++;
9187 addText(vhdlscanYYtext,vhdlscanYYleng);
9188}
9189YY_BREAK
9190case 38:
9191/* rule 38 can match eol */
9192YY_RULE_SETUP
9193#line 1492 "vhdlscanner.l"
9194{
9195 lineCount();
9196 addText(vhdlscanYYtext,vhdlscanYYleng);
9197 //BEGIN(FindSigName);
9198}
9199YY_BREAK
9200case 39:
9201/* rule 39 can match eol */
9202YY_RULE_SETUP
9203#line 1499 "vhdlscanner.l"
9204{
9205 //printf("\n found for[%s] [%d]",vhdlscanYYtext,yyLineNr);
9206 lineCount();
9207}
9208YY_BREAK
9209case 40:
9210YY_RULE_SETUP
9211#line 1504 "vhdlscanner.l"
9212{ // found digit
9213 addText(vhdlscanYYtext,vhdlscanYYleng);
9214 lineCount();
9215}
9216YY_BREAK
9217case 41:
9218YY_RULE_SETUP
9219#line 1509 "vhdlscanner.l"
9220{
9221 // Make sure string literals get transfered to the output
9222 // We have to match these because the comment characters (--)
9223 // can exist inside a string literal.
9224 // We shouldn't have to call lineCount because newlines
9225 // are not allowed inside string literals
9226 addText(vhdlscanYYtext,vhdlscanYYleng);
9227}
9228YY_BREAK
9229/*
9230<*>{BR}*"--!"{B}*"@}" { // end group
9231 if (current)
9232 {
9233 Entry *pg=new Entry;
9234 addSubEntry(current,pg);
9235 pg->startLine=yyLineNr;
9236 pg->name="endgroup";
9237 }
9238 lineCount();
9239}
9240
9241<*>{BR}*"--!"{B}*"@{" { // start group
9242 if (current)
9243 {
9244 Entry *pg=new Entry;
9245 addSubEntry(current,pg);
9246 pg->startLine=yyLineNr;
9247 pg->name="startgroup";
9248 }
9249 lineCount();
9250}
9251 */
9252case 42:
9253/* rule 42 can match eol */
9254YY_RULE_SETUP
9255#line 1542 "vhdlscanner.l"
9256{ // multi line comment
9257 if (iDocLine==-1) iDocLine=yyLineNr;
9258 // signal clk :in std_logic; --!@brief global clock
9259 // --!@brief global reset
9260 // signal reset:in std_logic;
9261 // these two comments are detected as a multi line comment
9262 QCString qc(vhdlscanYYtext);
9263 int len=qc.contains('\n')+yyLineNr-1;
9264
9265 if (YY_START!=Comment) // Start of the comment block
9266 {
9267 bufferClear();
9268 iTextCounter=0;
9269 startComment=yyLineNr;
9270 g_lastCommentContext=YY_START;
9271 }
9272
9273 Entry* pTemp=getEntryAtLine(current_root,len);
9274 if (pTemp)
9275 { // found one line comment, add it to the entry on this line
9276 pTemp->briefLine=yyLineNr;
9277 pTemp->brief+=vhdlscanYYtext;
9278 VhdlDocGen::prepareComment(pTemp->brief);
9279 }
9280 else
9281 {
9282 addText(vhdlscanYYtext,vhdlscanYYleng);
9283 }
9284 lineCount();
9285 BEGIN(Comment);
9286}
9287YY_BREAK
9288case 43:
9289YY_RULE_SETUP
9290#line 1574 "vhdlscanner.l"
9291{
9292 if (iDocLine==-1) iDocLine=yyLineNr;
9293 addText(vhdlscanYYtext,vhdlscanYYleng);
9294 lineCount();
9295}
9296YY_BREAK
9297case 44:
9298/* rule 44 can match eol */
9299YY_RULE_SETUP
9300#line 1580 "vhdlscanner.l"
9301{
9302 // found end of comment block
9303 QCString qcs;
9304 getBufText(qcs,iTextCounter);
9305 VhdlDocGen::prepareComment(qcs);
9306 int ii =qcs.find("\\code");
9307 if (ii>0)
9308 {
9309 iCodeLen=num_chars;
9310
9311 gBlock.reset();
9312 int len=qcs.length();
9313 QCString name=qcs.right(len-ii);
9314 name=VhdlDocGen::getIndexWord(name.data(),1);
9315 if (!name)
9316 gBlock.name="misc"+ VhdlDocGen::getRecordNumber();
9317 else
9318 gBlock.name=name;
9319 qcs=qcs.left(ii);
9320 gBlock.startLine=yyLineNr+1;
9321 gBlock.bodyLine=yyLineNr+1;
9322 gBlock.brief+=qcs;
9323 iTextCounter=0;
9324 }
9325
9326 if (ii==-1)
9327 {
9328 handleCommentBlock(qcs,FALSE);
9329 }
9330 bufferClear();
9331 unput(*vhdlscanYYtext);
9332 BEGIN(g_lastCommentContext);
9333}
9334YY_BREAK
9335case 45:
9336YY_RULE_SETUP
9337#line 1614 "vhdlscanner.l"
9338{ // one line comment
9339 if (iDocLine==-1) iDocLine=yyLineNr;
9340 QCString qcs(vhdlscanYYtext);
9341 int j=qcs.find("--!");
9342 qcs=qcs.right(qcs.length()-3-j);
9343 bool isEndCode=qcs.contains("\\endcode");
9344
9345 if (isEndCode)
9346 makeInline();
9347 //printf("--> handleCommentBlock line %d\n",yyLineNr);
9348 Entry* pTemp=getEntryAtLine(current_root,yyLineNr);
9349
9350 if (!isEndCode)
9351 {
9352 if (pTemp)
9353 {
9354 pTemp->briefLine=yyLineNr;
9355 pTemp->brief+=qcs;
9356 iDocLine=-1;
9357 }
9358 else
9359 {
9360 handleCommentBlock(qcs,TRUE);
9361 }
9362 }
9363
9364 bufferClear();
9365}// one line
9366YY_BREAK
9367case 46:
9368YY_RULE_SETUP
9369#line 1644 "vhdlscanner.l"
9370{
9371}
9372YY_BREAK
9373case 47:
9374/* rule 47 can match eol */
9375YY_RULE_SETUP
9376#line 1647 "vhdlscanner.l"
9377{
9378 lineCount();
9379 addText(vhdlscanYYtext,vhdlscanYYleng);
9380 // printf("\n new-line [%d]",yyLineNr);
9381 BEGIN(Start);
9382}
9383YY_BREAK
9384case 48:
9385YY_RULE_SETUP
9386#line 1654 "vhdlscanner.l"
9387{
9388 addText(vhdlscanYYtext,vhdlscanYYleng);
9389 lineCount();
9390}
9391YY_BREAK
9392case 49:
9393YY_RULE_SETUP
9394#line 1659 "vhdlscanner.l"
9395{
9396 addText(vhdlscanYYtext,vhdlscanYYleng);
9397 lineCount();
9398}
9399YY_BREAK
9400case 50:
9401YY_RULE_SETUP
9402#line 1664 "vhdlscanner.l"
9403{
9404 addText(vhdlscanYYtext,vhdlscanYYleng);
9405 lineCount();
9406}
9407YY_BREAK
9408case 51:
9409YY_RULE_SETUP
9410#line 1670 "vhdlscanner.l"
9411ECHO;
9412YY_BREAK
9413#line 9414 "<stdout>"
9414case YY_STATE_EOF(INITIAL):
9415case YY_STATE_EOF(Start):
9416case YY_STATE_EOF(Comment):
9417case YY_STATE_EOF(FindTypeName):
9418case YY_STATE_EOF(ParseType):
9419case YY_STATE_EOF(ParseRecord):
9420case YY_STATE_EOF(ParseUnits):
9421case YY_STATE_EOF(ParseProcess):
9422case YY_STATE_EOF(ParseFunc):
9423case YY_STATE_EOF(FindName):
9424case YY_STATE_EOF(FindEntityName):
9425case YY_STATE_EOF(FindGenPort):
9426case YY_STATE_EOF(FindTypes):
9427case YY_STATE_EOF(FindSigName):
9428case YY_STATE_EOF(FindFuncName):
9429case YY_STATE_EOF(FindBegin):
9430yyterminate();
9431
9432case YY_END_OF_BUFFER:
9433{
9434/* Amount of text matched not including the EOB char. */
9435int yy_amount_of_matched_text = (int) (yy_cp - (yytext_ptr)) - 1;
9436
9437/* Undo the effects of YY_DO_BEFORE_ACTION. */
9438*yy_cp = (yy_hold_char);
9439YY_RESTORE_YY_MORE_OFFSET
9440
9441if ( YY_CURRENT_BUFFER_LVALUE->yy_buffer_status == YY_BUFFER_NEW )
9442{
9443/* We're scanning a new file or input source. It's
9444 * possible that this happened because the user
9445 * just pointed vhdlscanYYin at a new source and called
9446 * vhdlscanYYlex(). If so, then we have to assure
9447 * consistency between YY_CURRENT_BUFFER and our
9448 * globals. Here is the right place to do so, because
9449 * this is the first action (other than possibly a
9450 * back-up) that will match for the new input source.
9451 */
9452(yy_n_chars) = YY_CURRENT_BUFFER_LVALUE->yy_n_chars;
9453YY_CURRENT_BUFFER_LVALUE->yy_input_file = vhdlscanYYin;
9454YY_CURRENT_BUFFER_LVALUE->yy_buffer_status = YY_BUFFER_NORMAL;
9455}
9456
9457/* Note that here we test for yy_c_buf_p "<=" to the position
9458 * of the first EOB in the buffer, since yy_c_buf_p will
9459 * already have been incremented past the NUL character
9460 * (since all states make transitions on EOB to the
9461 * end-of-buffer state). Contrast this with the test
9462 * in input().
9463 */
9464if ( (yy_c_buf_p) <= &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars)] )
9465{ /* This was really a NUL. */
9466yy_state_type yy_next_state;
9467
9468(yy_c_buf_p) = (yytext_ptr) + yy_amount_of_matched_text;
9469
9470yy_current_state = yy_get_previous_state( );
9471
9472/* Okay, we're now positioned to make the NUL
9473 * transition. We couldn't have
9474 * yy_get_previous_state() go ahead and do it
9475 * for us because it doesn't know how to deal
9476 * with the possibility of jamming (and we don't
9477 * want to build jamming into it because then it
9478 * will run more slowly).
9479 */
9480
9481yy_next_state = yy_try_NUL_trans( yy_current_state );
9482
9483yy_bp = (yytext_ptr) + YY_MORE_ADJ;
9484
9485if ( yy_next_state )
9486{
9487/* Consume the NUL. */
9488yy_cp = ++(yy_c_buf_p);
9489yy_current_state = yy_next_state;
9490goto yy_match;
9491}
9492
9493else
9494{
9495yy_cp = (yy_c_buf_p);
9496goto yy_find_action;
9497}
9498}
9499
9500else switch ( yy_get_next_buffer( ) )
9501{
9502case EOB_ACT_END_OF_FILE:
9503{
9504(yy_did_buffer_switch_on_eof) = 0;
9505
9506if ( vhdlscanYYwrap( ) )
9507{
9508/* Note: because we've taken care in
9509 * yy_get_next_buffer() to have set up
9510 * vhdlscanYYtext, we can now set up
9511 * yy_c_buf_p so that if some total
9512 * hoser (like flex itself) wants to
9513 * call the scanner after we return the
9514 * YY_NULL, it'll still work - another
9515 * YY_NULL will get returned.
9516 */
9517(yy_c_buf_p) = (yytext_ptr) + YY_MORE_ADJ;
9518
9519yy_act = YY_STATE_EOF(YY_START);
9520goto do_action;
9521}
9522
9523else
9524{
9525if ( ! (yy_did_buffer_switch_on_eof) )
9526YY_NEW_FILE;
9527}
9528break;
9529}
9530
9531case EOB_ACT_CONTINUE_SCAN:
9532(yy_c_buf_p) =
9533(yytext_ptr) + yy_amount_of_matched_text;
9534
9535yy_current_state = yy_get_previous_state( );
9536
9537yy_cp = (yy_c_buf_p);
9538yy_bp = (yytext_ptr) + YY_MORE_ADJ;
9539goto yy_match;
9540
9541case EOB_ACT_LAST_MATCH:
9542(yy_c_buf_p) =
9543&YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars)];
9544
9545yy_current_state = yy_get_previous_state( );
9546
9547yy_cp = (yy_c_buf_p);
9548yy_bp = (yytext_ptr) + YY_MORE_ADJ;
9549goto yy_find_action;
9550}
9551break;
9552}
9553
9554default:
9555YY_FATAL_ERROR(
9556"fatal flex scanner internal error--no action found" );
9557} /* end of action switch */
9558} /* end of scanning one token */
9559} /* end of vhdlscanYYlex */
9560
9561/* yy_get_next_buffer - try to read in a new buffer
9562 *
9563 * Returns a code representing an action:
9564 *EOB_ACT_LAST_MATCH -
9565 *EOB_ACT_CONTINUE_SCAN - continue scanning from current position
9566 *EOB_ACT_END_OF_FILE - end of file
9567 */
9568static int yy_get_next_buffer (void)
9569{
9570 register char *dest = YY_CURRENT_BUFFER_LVALUE->yy_ch_buf;
9571register char *source = (yytext_ptr);
9572register int number_to_move, i;
9573int ret_val;
9574
9575if ( (yy_c_buf_p) > &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars) + 1] )
9576YY_FATAL_ERROR(
9577"fatal flex scanner internal error--end of buffer missed" );
9578
9579if ( YY_CURRENT_BUFFER_LVALUE->yy_fill_buffer == 0 )
9580{ /* Don't try to fill the buffer, so this is an EOF. */
9581if ( (yy_c_buf_p) - (yytext_ptr) - YY_MORE_ADJ == 1 )
9582{
9583/* We matched a single character, the EOB, so
9584 * treat this as a final EOF.
9585 */
9586return EOB_ACT_END_OF_FILE;
9587}
9588
9589else
9590{
9591/* We matched some text prior to the EOB, first
9592 * process it.
9593 */
9594return EOB_ACT_LAST_MATCH;
9595}
9596}
9597
9598/* Try to read more data. */
9599
9600/* First move last chars to start of buffer. */
9601number_to_move = (int) ((yy_c_buf_p) - (yytext_ptr)) - 1;
9602
9603for ( i = 0; i < number_to_move; ++i )
9604*(dest++) = *(source++);
9605
9606if ( YY_CURRENT_BUFFER_LVALUE->yy_buffer_status == YY_BUFFER_EOF_PENDING )
9607/* don't do the read, it's not guaranteed to return an EOF,
9608 * just force an EOF
9609 */
9610YY_CURRENT_BUFFER_LVALUE->yy_n_chars = (yy_n_chars) = 0;
9611
9612else
9613{
9614yy_size_t num_to_read =
9615YY_CURRENT_BUFFER_LVALUE->yy_buf_size - number_to_move - 1;
9616
9617while ( num_to_read <= 0 )
9618{ /* Not enough room in the buffer - grow it. */
9619
9620YY_FATAL_ERROR(
9621"input buffer overflow, can't enlarge buffer because scanner uses REJECT" );
9622
9623}
9624
9625if ( num_to_read > YY_READ_BUF_SIZE )
9626num_to_read = YY_READ_BUF_SIZE;
9627
9628/* Read in more data. */
9629YY_INPUT( (&YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[number_to_move]),
9630(yy_n_chars), num_to_read );
9631
9632YY_CURRENT_BUFFER_LVALUE->yy_n_chars = (yy_n_chars);
9633}
9634
9635if ( (yy_n_chars) == 0 )
9636{
9637if ( number_to_move == YY_MORE_ADJ )
9638{
9639ret_val = EOB_ACT_END_OF_FILE;
9640vhdlscanYYrestart(vhdlscanYYin );
9641}
9642
9643else
9644{
9645ret_val = EOB_ACT_LAST_MATCH;
9646YY_CURRENT_BUFFER_LVALUE->yy_buffer_status =
9647YY_BUFFER_EOF_PENDING;
9648}
9649}
9650
9651else
9652ret_val = EOB_ACT_CONTINUE_SCAN;
9653
9654if ((yy_size_t) ((yy_n_chars) + number_to_move) > YY_CURRENT_BUFFER_LVALUE->yy_buf_size) {
9655/* Extend the array by 50%, plus the number we really need. */
9656yy_size_t new_size = (yy_n_chars) + number_to_move + ((yy_n_chars) >> 1);
9657YY_CURRENT_BUFFER_LVALUE->yy_ch_buf = (char *) vhdlscanYYrealloc((void *) YY_CURRENT_BUFFER_LVALUE->yy_ch_buf,new_size );
9658if ( ! YY_CURRENT_BUFFER_LVALUE->yy_ch_buf )
9659YY_FATAL_ERROR( "out of dynamic memory in yy_get_next_buffer()" );
9660}
9661
9662(yy_n_chars) += number_to_move;
9663YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars)] = YY_END_OF_BUFFER_CHAR;
9664YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars) + 1] = YY_END_OF_BUFFER_CHAR;
9665
9666(yytext_ptr) = &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[0];
9667
9668return ret_val;
9669}
9670
9671/* yy_get_previous_state - get the state just before the EOB char was reached */
9672
9673 static yy_state_type yy_get_previous_state (void)
9674{
9675register yy_state_type yy_current_state;
9676register char *yy_cp;
9677
9678yy_current_state = (yy_start);
9679yy_current_state += YY_AT_BOL();
9680
9681(yy_state_ptr) = (yy_state_buf);
9682*(yy_state_ptr)++ = yy_current_state;
9683
9684for ( yy_cp = (yytext_ptr) + YY_MORE_ADJ; yy_cp < (yy_c_buf_p); ++yy_cp )
9685{
9686register YY_CHAR yy_c = (*yy_cp ? yy_ec[YY_SC_TO_UI(*yy_cp)] : 1);
9687while ( yy_chk[yy_base[yy_current_state] + yy_c] != yy_current_state )
9688{
9689yy_current_state = (int) yy_def[yy_current_state];
9690if ( yy_current_state >= 1861 )
9691yy_c = yy_meta[(unsigned int) yy_c];
9692}
9693yy_current_state = yy_nxt[yy_base[yy_current_state] + (unsigned int) yy_c];
9694*(yy_state_ptr)++ = yy_current_state;
9695}
9696
9697return yy_current_state;
9698}
9699
9700/* yy_try_NUL_trans - try to make a transition on the NUL character
9701 *
9702 * synopsis
9703 *next_state = yy_try_NUL_trans( current_state );
9704 */
9705 static yy_state_type yy_try_NUL_trans (yy_state_type yy_current_state )
9706{
9707register int yy_is_jam;
9708
9709register YY_CHAR yy_c = 1;
9710while ( yy_chk[yy_base[yy_current_state] + yy_c] != yy_current_state )
9711{
9712yy_current_state = (int) yy_def[yy_current_state];
9713if ( yy_current_state >= 1861 )
9714yy_c = yy_meta[(unsigned int) yy_c];
9715}
9716yy_current_state = yy_nxt[yy_base[yy_current_state] + (unsigned int) yy_c];
9717yy_is_jam = (yy_current_state == 1860);
9718if ( ! yy_is_jam )
9719*(yy_state_ptr)++ = yy_current_state;
9720
9721return yy_is_jam ? 0 : yy_current_state;
9722}
9723
9724 static void yyunput (int c, register char * yy_bp )
9725{
9726register char *yy_cp;
9727
9728 yy_cp = (yy_c_buf_p);
9729
9730/* undo effects of setting up vhdlscanYYtext */
9731*yy_cp = (yy_hold_char);
9732
9733if ( yy_cp < YY_CURRENT_BUFFER_LVALUE->yy_ch_buf + 2 )
9734{ /* need to shift things up to make room */
9735/* +2 for EOB chars. */
9736register yy_size_t number_to_move = (yy_n_chars) + 2;
9737register char *dest = &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[
9738YY_CURRENT_BUFFER_LVALUE->yy_buf_size + 2];
9739register char *source =
9740&YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[number_to_move];
9741
9742while ( source > YY_CURRENT_BUFFER_LVALUE->yy_ch_buf )
9743*--dest = *--source;
9744
9745yy_cp += (int) (dest - source);
9746yy_bp += (int) (dest - source);
9747YY_CURRENT_BUFFER_LVALUE->yy_n_chars =
9748(yy_n_chars) = YY_CURRENT_BUFFER_LVALUE->yy_buf_size;
9749
9750if ( yy_cp < YY_CURRENT_BUFFER_LVALUE->yy_ch_buf + 2 )
9751YY_FATAL_ERROR( "flex scanner push-back overflow" );
9752}
9753
9754*--yy_cp = (char) c;
9755
9756(yytext_ptr) = yy_bp;
9757(yy_hold_char) = *yy_cp;
9758(yy_c_buf_p) = yy_cp;
9759}
9760
9761#ifndef YY_NO_INPUT
9762#ifdef __cplusplus
9763 static int yyinput (void)
9764#else
9765 static int input (void)
9766#endif
9767
9768{
9769int c;
9770
9771*(yy_c_buf_p) = (yy_hold_char);
9772
9773if ( *(yy_c_buf_p) == YY_END_OF_BUFFER_CHAR )
9774{
9775/* yy_c_buf_p now points to the character we want to return.
9776 * If this occurs *before* the EOB characters, then it's a
9777 * valid NUL; if not, then we've hit the end of the buffer.
9778 */
9779if ( (yy_c_buf_p) < &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars)] )
9780/* This was really a NUL. */
9781*(yy_c_buf_p) = '\0';
9782
9783else
9784{ /* need more input */
9785yy_size_t offset = (yy_c_buf_p) - (yytext_ptr);
9786++(yy_c_buf_p);
9787
9788switch ( yy_get_next_buffer( ) )
9789{
9790case EOB_ACT_LAST_MATCH:
9791/* This happens because yy_g_n_b()
9792 * sees that we've accumulated a
9793 * token and flags that we need to
9794 * try matching the token before
9795 * proceeding. But for input(),
9796 * there's no matching to consider.
9797 * So convert the EOB_ACT_LAST_MATCH
9798 * to EOB_ACT_END_OF_FILE.
9799 */
9800
9801/* Reset buffer status. */
9802vhdlscanYYrestart(vhdlscanYYin );
9803
9804/*FALLTHROUGH*/
9805
9806case EOB_ACT_END_OF_FILE:
9807{
9808if ( vhdlscanYYwrap( ) )
9809return 0;
9810
9811if ( ! (yy_did_buffer_switch_on_eof) )
9812YY_NEW_FILE;
9813#ifdef __cplusplus
9814return yyinput();
9815#else
9816return input();
9817#endif
9818}
9819
9820case EOB_ACT_CONTINUE_SCAN:
9821(yy_c_buf_p) = (yytext_ptr) + offset;
9822break;
9823}
9824}
9825}
9826
9827c = *(unsigned char *) (yy_c_buf_p);/* cast for 8-bit char's */
9828*(yy_c_buf_p) = '\0';/* preserve vhdlscanYYtext */
9829(yy_hold_char) = *++(yy_c_buf_p);
9830
9831YY_CURRENT_BUFFER_LVALUE->yy_at_bol = (c == '\n');
9832
9833return c;
9834}
9835#endif/* ifndef YY_NO_INPUT */
9836
9837/** Immediately switch to a different input stream.
9838 * @param input_file A readable stream.
9839 *
9840 * @note This function does not reset the start condition to @c INITIAL .
9841 */
9842 void vhdlscanYYrestart (FILE * input_file )
9843{
9844
9845if ( ! YY_CURRENT_BUFFER ){
9846 vhdlscanYYensure_buffer_stack ();
9847YY_CURRENT_BUFFER_LVALUE =
9848 vhdlscanYY_create_buffer(vhdlscanYYin,YY_BUF_SIZE );
9849}
9850
9851vhdlscanYY_init_buffer(YY_CURRENT_BUFFER,input_file );
9852vhdlscanYY_load_buffer_state( );
9853}
9854
9855/** Switch to a different input buffer.
9856 * @param new_buffer The new input buffer.
9857 *
9858 */
9859 void vhdlscanYY_switch_to_buffer (YY_BUFFER_STATE new_buffer )
9860{
9861
9862/* TODO. We should be able to replace this entire function body
9863 * with
9864 *vhdlscanYYpop_buffer_state();
9865 *vhdlscanYYpush_buffer_state(new_buffer);
9866 */
9867vhdlscanYYensure_buffer_stack ();
9868if ( YY_CURRENT_BUFFER == new_buffer )
9869return;
9870
9871if ( YY_CURRENT_BUFFER )
9872{
9873/* Flush out information for old buffer. */
9874*(yy_c_buf_p) = (yy_hold_char);
9875YY_CURRENT_BUFFER_LVALUE->yy_buf_pos = (yy_c_buf_p);
9876YY_CURRENT_BUFFER_LVALUE->yy_n_chars = (yy_n_chars);
9877}
9878
9879YY_CURRENT_BUFFER_LVALUE = new_buffer;
9880vhdlscanYY_load_buffer_state( );
9881
9882/* We don't actually know whether we did this switch during
9883 * EOF (vhdlscanYYwrap()) processing, but the only time this flag
9884 * is looked at is after vhdlscanYYwrap() is called, so it's safe
9885 * to go ahead and always set it.
9886 */
9887(yy_did_buffer_switch_on_eof) = 1;
9888}
9889
9890static void vhdlscanYY_load_buffer_state (void)
9891{
9892 (yy_n_chars) = YY_CURRENT_BUFFER_LVALUE->yy_n_chars;
9893(yytext_ptr) = (yy_c_buf_p) = YY_CURRENT_BUFFER_LVALUE->yy_buf_pos;
9894vhdlscanYYin = YY_CURRENT_BUFFER_LVALUE->yy_input_file;
9895(yy_hold_char) = *(yy_c_buf_p);
9896}
9897
9898/** Allocate and initialize an input buffer state.
9899 * @param file A readable stream.
9900 * @param size The character buffer size in bytes. When in doubt, use @c YY_BUF_SIZE.
9901 *
9902 * @return the allocated buffer state.
9903 */
9904 YY_BUFFER_STATE vhdlscanYY_create_buffer (FILE * file, int size )
9905{
9906YY_BUFFER_STATE b;
9907
9908b = (YY_BUFFER_STATE) vhdlscanYYalloc(sizeof( struct yy_buffer_state ) );
9909if ( ! b )
9910YY_FATAL_ERROR( "out of dynamic memory in vhdlscanYY_create_buffer()" );
9911
9912b->yy_buf_size = size;
9913
9914/* yy_ch_buf has to be 2 characters longer than the size given because
9915 * we need to put in 2 end-of-buffer characters.
9916 */
9917b->yy_ch_buf = (char *) vhdlscanYYalloc(b->yy_buf_size + 2 );
9918if ( ! b->yy_ch_buf )
9919YY_FATAL_ERROR( "out of dynamic memory in vhdlscanYY_create_buffer()" );
9920
9921b->yy_is_our_buffer = 1;
9922
9923vhdlscanYY_init_buffer(b,file );
9924
9925return b;
9926}
9927
9928/** Destroy the buffer.
9929 * @param b a buffer created with vhdlscanYY_create_buffer()
9930 *
9931 */
9932 void vhdlscanYY_delete_buffer (YY_BUFFER_STATE b )
9933{
9934
9935if ( ! b )
9936return;
9937
9938if ( b == YY_CURRENT_BUFFER ) /* Not sure if we should pop here. */
9939YY_CURRENT_BUFFER_LVALUE = (YY_BUFFER_STATE) 0;
9940
9941if ( b->yy_is_our_buffer )
9942vhdlscanYYfree((void *) b->yy_ch_buf );
9943
9944vhdlscanYYfree((void *) b );
9945}
9946
9947#ifndef __cplusplus
9948extern int isatty (int );
9949#endif /* __cplusplus */
9950
9951/* Initializes or reinitializes a buffer.
9952 * This function is sometimes called more than once on the same buffer,
9953 * such as during a vhdlscanYYrestart() or at EOF.
9954 */
9955 static void vhdlscanYY_init_buffer (YY_BUFFER_STATE b, FILE * file )
9956
9957{
9958int oerrno = errno;
9959
9960vhdlscanYY_flush_buffer(b );
9961
9962b->yy_input_file = file;
9963b->yy_fill_buffer = 1;
9964
9965 /* If b is the current buffer, then vhdlscanYY_init_buffer was _probably_
9966 * called from vhdlscanYYrestart() or through yy_get_next_buffer.
9967 * In that case, we don't want to reset the lineno or column.
9968 */
9969 if (b != YY_CURRENT_BUFFER){
9970 b->yy_bs_lineno = 1;
9971 b->yy_bs_column = 0;
9972 }
9973
9974 b->yy_is_interactive = file ? (isatty( fileno(file) ) > 0) : 0;
9975
9976errno = oerrno;
9977}
9978
9979/** Discard all buffered characters. On the next scan, YY_INPUT will be called.
9980 * @param b the buffer state to be flushed, usually @c YY_CURRENT_BUFFER.
9981 *
9982 */
9983 void vhdlscanYY_flush_buffer (YY_BUFFER_STATE b )
9984{
9985 if ( ! b )
9986return;
9987
9988b->yy_n_chars = 0;
9989
9990/* We always need two end-of-buffer characters. The first causes
9991 * a transition to the end-of-buffer state. The second causes
9992 * a jam in that state.
9993 */
9994b->yy_ch_buf[0] = YY_END_OF_BUFFER_CHAR;
9995b->yy_ch_buf[1] = YY_END_OF_BUFFER_CHAR;
9996
9997b->yy_buf_pos = &b->yy_ch_buf[0];
9998
9999b->yy_at_bol = 1;
10000b->yy_buffer_status = YY_BUFFER_NEW;
10001
10002if ( b == YY_CURRENT_BUFFER )
10003vhdlscanYY_load_buffer_state( );
10004}
10005
10006/** Pushes the new state onto the stack. The new state becomes
10007 * the current state. This function will allocate the stack
10008 * if necessary.
10009 * @param new_buffer The new state.
10010 *
10011 */
10012void vhdlscanYYpush_buffer_state (YY_BUFFER_STATE new_buffer )
10013{
10014 if (new_buffer == NULL)
10015return;
10016
10017vhdlscanYYensure_buffer_stack();
10018
10019/* This block is copied from vhdlscanYY_switch_to_buffer. */
10020if ( YY_CURRENT_BUFFER )
10021{
10022/* Flush out information for old buffer. */
10023*(yy_c_buf_p) = (yy_hold_char);
10024YY_CURRENT_BUFFER_LVALUE->yy_buf_pos = (yy_c_buf_p);
10025YY_CURRENT_BUFFER_LVALUE->yy_n_chars = (yy_n_chars);
10026}
10027
10028/* Only push if top exists. Otherwise, replace top. */
10029if (YY_CURRENT_BUFFER)
10030(yy_buffer_stack_top)++;
10031YY_CURRENT_BUFFER_LVALUE = new_buffer;
10032
10033/* copied from vhdlscanYY_switch_to_buffer. */
10034vhdlscanYY_load_buffer_state( );
10035(yy_did_buffer_switch_on_eof) = 1;
10036}
10037
10038/** Removes and deletes the top of the stack, if present.
10039 * The next element becomes the new top.
10040 *
10041 */
10042void vhdlscanYYpop_buffer_state (void)
10043{
10044 if (!YY_CURRENT_BUFFER)
10045return;
10046
10047vhdlscanYY_delete_buffer(YY_CURRENT_BUFFER );
10048YY_CURRENT_BUFFER_LVALUE = NULL;
10049if ((yy_buffer_stack_top) > 0)
10050--(yy_buffer_stack_top);
10051
10052if (YY_CURRENT_BUFFER) {
10053vhdlscanYY_load_buffer_state( );
10054(yy_did_buffer_switch_on_eof) = 1;
10055}
10056}
10057
10058/* Allocates the stack if it does not exist.
10059 * Guarantees space for at least one push.
10060 */
10061static void vhdlscanYYensure_buffer_stack (void)
10062{
10063yy_size_t num_to_alloc;
10064
10065if (!(yy_buffer_stack)) {
10066
10067/* First allocation is just for 2 elements, since we don't know if this
10068 * scanner will even need a stack. We use 2 instead of 1 to avoid an
10069 * immediate realloc on the next call.
10070 */
10071num_to_alloc = 1;
10072(yy_buffer_stack) = (struct yy_buffer_state**)vhdlscanYYalloc
10073(num_to_alloc * sizeof(struct yy_buffer_state*)
10074);
10075if ( ! (yy_buffer_stack) )
10076YY_FATAL_ERROR( "out of dynamic memory in vhdlscanYYensure_buffer_stack()" );
10077
10078memset((yy_buffer_stack), 0, num_to_alloc * sizeof(struct yy_buffer_state*));
10079
10080(yy_buffer_stack_max) = num_to_alloc;
10081(yy_buffer_stack_top) = 0;
10082return;
10083}
10084
10085if ((yy_buffer_stack_top) >= ((yy_buffer_stack_max)) - 1){
10086
10087/* Increase the buffer to prepare for a possible push. */
10088int grow_size = 8 /* arbitrary grow size */;
10089
10090num_to_alloc = (yy_buffer_stack_max) + grow_size;
10091(yy_buffer_stack) = (struct yy_buffer_state**)vhdlscanYYrealloc
10092((yy_buffer_stack),
10093num_to_alloc * sizeof(struct yy_buffer_state*)
10094);
10095if ( ! (yy_buffer_stack) )
10096YY_FATAL_ERROR( "out of dynamic memory in vhdlscanYYensure_buffer_stack()" );
10097
10098/* zero only the new slots.*/
10099memset((yy_buffer_stack) + (yy_buffer_stack_max), 0, grow_size * sizeof(struct yy_buffer_state*));
10100(yy_buffer_stack_max) = num_to_alloc;
10101}
10102}
10103
10104/** Setup the input buffer state to scan directly from a user-specified character buffer.
10105 * @param base the character buffer
10106 * @param size the size in bytes of the character buffer
10107 *
10108 * @return the newly allocated buffer state object.
10109 */
10110YY_BUFFER_STATE vhdlscanYY_scan_buffer (char * base, yy_size_t size )
10111{
10112YY_BUFFER_STATE b;
10113
10114if ( size < 2 ||
10115 base[size-2] != YY_END_OF_BUFFER_CHAR ||
10116 base[size-1] != YY_END_OF_BUFFER_CHAR )
10117/* They forgot to leave room for the EOB's. */
10118return 0;
10119
10120b = (YY_BUFFER_STATE) vhdlscanYYalloc(sizeof( struct yy_buffer_state ) );
10121if ( ! b )
10122YY_FATAL_ERROR( "out of dynamic memory in vhdlscanYY_scan_buffer()" );
10123
10124b->yy_buf_size = size - 2;/* "- 2" to take care of EOB's */
10125b->yy_buf_pos = b->yy_ch_buf = base;
10126b->yy_is_our_buffer = 0;
10127b->yy_input_file = 0;
10128b->yy_n_chars = b->yy_buf_size;
10129b->yy_is_interactive = 0;
10130b->yy_at_bol = 1;
10131b->yy_fill_buffer = 0;
10132b->yy_buffer_status = YY_BUFFER_NEW;
10133
10134vhdlscanYY_switch_to_buffer(b );
10135
10136return b;
10137}
10138
10139/** Setup the input buffer state to scan a string. The next call to vhdlscanYYlex() will
10140 * scan from a @e copy of @a str.
10141 * @param yystr a NUL-terminated string to scan
10142 *
10143 * @return the newly allocated buffer state object.
10144 * @note If you want to scan bytes that may contain NUL values, then use
10145 * vhdlscanYY_scan_bytes() instead.
10146 */
10147YY_BUFFER_STATE vhdlscanYY_scan_string (yyconst char * yystr )
10148{
10149
10150return vhdlscanYY_scan_bytes(yystr,strlen(yystr) );
10151}
10152
10153/** Setup the input buffer state to scan the given bytes. The next call to vhdlscanYYlex() will
10154 * scan from a @e copy of @a bytes.
10155 * @param bytes the byte buffer to scan
10156 * @param len the number of bytes in the buffer pointed to by @a bytes.
10157 *
10158 * @return the newly allocated buffer state object.
10159 */
10160YY_BUFFER_STATE vhdlscanYY_scan_bytes (yyconst char * yybytes, yy_size_t _yybytes_len )
10161{
10162YY_BUFFER_STATE b;
10163char *buf;
10164yy_size_t n, i;
10165
10166/* Get memory for full buffer, including space for trailing EOB's. */
10167n = _yybytes_len + 2;
10168buf = (char *) vhdlscanYYalloc(n );
10169if ( ! buf )
10170YY_FATAL_ERROR( "out of dynamic memory in vhdlscanYY_scan_bytes()" );
10171
10172for ( i = 0; i < _yybytes_len; ++i )
10173buf[i] = yybytes[i];
10174
10175buf[_yybytes_len] = buf[_yybytes_len+1] = YY_END_OF_BUFFER_CHAR;
10176
10177b = vhdlscanYY_scan_buffer(buf,n );
10178if ( ! b )
10179YY_FATAL_ERROR( "bad buffer in vhdlscanYY_scan_bytes()" );
10180
10181/* It's okay to grow etc. this buffer, and we should throw it
10182 * away when we're done.
10183 */
10184b->yy_is_our_buffer = 1;
10185
10186return b;
10187}
10188
10189#ifndef YY_EXIT_FAILURE
10190#define YY_EXIT_FAILURE 2
10191#endif
10192
10193static void yy_fatal_error (yyconst char* msg )
10194{
10195 (void) fprintf( stderr, "%s\n", msg );
10196exit( YY_EXIT_FAILURE );
10197}
10198
10199/* Redefine yyless() so it works in section 3 code. */
10200
10201#undef yyless
10202#define yyless(n) \
10203do \
10204{ \
10205/* Undo effects of setting up vhdlscanYYtext. */ \
10206 int yyless_macro_arg = (n); \
10207 YY_LESS_LINENO(yyless_macro_arg);\
10208vhdlscanYYtext[vhdlscanYYleng] = (yy_hold_char); \
10209(yy_c_buf_p) = vhdlscanYYtext + yyless_macro_arg; \
10210(yy_hold_char) = *(yy_c_buf_p); \
10211*(yy_c_buf_p) = '\0'; \
10212vhdlscanYYleng = yyless_macro_arg; \
10213} \
10214while ( 0 )
10215
10216/* Accessor methods (get/set functions) to struct members. */
10217
10218/** Get the current line number.
10219 *
10220 */
10221int vhdlscanYYget_lineno (void)
10222{
10223
10224 return vhdlscanYYlineno;
10225}
10226
10227/** Get the input stream.
10228 *
10229 */
10230FILE *vhdlscanYYget_in (void)
10231{
10232 return vhdlscanYYin;
10233}
10234
10235/** Get the output stream.
10236 *
10237 */
10238FILE *vhdlscanYYget_out (void)
10239{
10240 return vhdlscanYYout;
10241}
10242
10243/** Get the length of the current token.
10244 *
10245 */
10246yy_size_t vhdlscanYYget_leng (void)
10247{
10248 return vhdlscanYYleng;
10249}
10250
10251/** Get the current token.
10252 *
10253 */
10254
10255char *vhdlscanYYget_text (void)
10256{
10257 return vhdlscanYYtext;
10258}
10259
10260/** Set the current line number.
10261 * @param line_number
10262 *
10263 */
10264void vhdlscanYYset_lineno (int line_number )
10265{
10266
10267 vhdlscanYYlineno = line_number;
10268}
10269
10270/** Set the input stream. This does not discard the current
10271 * input buffer.
10272 * @param in_str A readable stream.
10273 *
10274 * @see vhdlscanYY_switch_to_buffer
10275 */
10276void vhdlscanYYset_in (FILE * in_str )
10277{
10278 vhdlscanYYin = in_str ;
10279}
10280
10281void vhdlscanYYset_out (FILE * out_str )
10282{
10283 vhdlscanYYout = out_str ;
10284}
10285
10286int vhdlscanYYget_debug (void)
10287{
10288 return vhdlscanYY_flex_debug;
10289}
10290
10291void vhdlscanYYset_debug (int bdebug )
10292{
10293 vhdlscanYY_flex_debug = bdebug ;
10294}
10295
10296static int yy_init_globals (void)
10297{
10298 /* Initialization is the same as for the non-reentrant scanner.
10299 * This function is called from vhdlscanYYlex_destroy(), so don't allocate here.
10300 */
10301
10302 (yy_buffer_stack) = 0;
10303 (yy_buffer_stack_top) = 0;
10304 (yy_buffer_stack_max) = 0;
10305 (yy_c_buf_p) = (char *) 0;
10306 (yy_init) = 0;
10307 (yy_start) = 0;
10308
10309 (yy_state_buf) = 0;
10310 (yy_state_ptr) = 0;
10311 (yy_full_match) = 0;
10312 (yy_lp) = 0;
10313
10314/* Defined in main.c */
10315#ifdef YY_STDINIT
10316 vhdlscanYYin = stdin;
10317 vhdlscanYYout = stdout;
10318#else
10319 vhdlscanYYin = (FILE *) 0;
10320 vhdlscanYYout = (FILE *) 0;
10321#endif
10322
10323 /* For future reference: Set errno on error, since we are called by
10324 * vhdlscanYYlex_init()
10325 */
10326 return 0;
10327}
10328
10329/* vhdlscanYYlex_destroy is for both reentrant and non-reentrant scanners. */
10330int vhdlscanYYlex_destroy (void)
10331{
10332
10333 /* Pop the buffer stack, destroying each element. */
10334while(YY_CURRENT_BUFFER){
10335vhdlscanYY_delete_buffer(YY_CURRENT_BUFFER );
10336YY_CURRENT_BUFFER_LVALUE = NULL;
10337vhdlscanYYpop_buffer_state();
10338}
10339
10340/* Destroy the stack itself. */
10341vhdlscanYYfree((yy_buffer_stack) );
10342(yy_buffer_stack) = NULL;
10343
10344 vhdlscanYYfree ( (yy_state_buf) );
10345 (yy_state_buf) = NULL;
10346
10347 /* Reset the globals. This is important in a non-reentrant scanner so the next time
10348 * vhdlscanYYlex() is called, initialization will occur. */
10349 yy_init_globals( );
10350
10351 return 0;
10352}
10353
10354/*
10355 * Internal utility routines.
10356 */
10357
10358#ifndef yytext_ptr
10359static void yy_flex_strncpy (char* s1, yyconst char * s2, int n )
10360{
10361register int i;
10362for ( i = 0; i < n; ++i )
10363s1[i] = s2[i];
10364}
10365#endif
10366
10367#ifdef YY_NEED_STRLEN
10368static int yy_flex_strlen (yyconst char * s )
10369{
10370register int n;
10371for ( n = 0; s[n]; ++n )
10372;
10373
10374return n;
10375}
10376#endif
10377
10378void *vhdlscanYYalloc (yy_size_t size )
10379{
10380return (void *) malloc( size );
10381}
10382
10383void *vhdlscanYYrealloc (void * ptr, yy_size_t size )
10384{
10385/* The cast to (char *) in the following accommodates both
10386 * implementations that use char* generic pointers, and those
10387 * that use void* generic pointers. It works with the latter
10388 * because both ANSI C and C++ allow castless assignment from
10389 * any pointer type to void*, and deal with argument conversions
10390 * as though doing an assignment.
10391 */
10392return (void *) realloc( (char *) ptr, size );
10393}
10394
10395void vhdlscanYYfree (void * ptr )
10396{
10397free( (char *) ptr );/* see vhdlscanYYrealloc() for (char *) cast */
10398}
10399
10400#define YYTABLES_NAME "yytables"
10401
10402#line 1670 "vhdlscanner.l"
10403
10404
10405
10406static void initEntry(Entry *e)
10407{
10408 e->fileName = yyFileName;
10409 initGroupInfo(e);
10410}
10411
10412static void newEntry()
10413{
10414 // Add only enties/architectures/packages to root
10415 // and signals to classes where they were found
10416 // ENTITY dlatch_93 IS -- VHDL'93-Syntax !!!
10417 // PORT (d, clk : IN bit;
10418 // q, qbar : OUT bit);
10419 // GROUP path IS (SIGNAL, SIGNAL);
10420 // GROUP d_to_q : path (d, q);
10421 // ATTRIBUTE propagation : time;
10422 // END dlatch_93;
10423
10424 if (current->spec==VhdlDocGen::ENTITY ||
10425 current->spec==VhdlDocGen::PACKAGE ||
10426 current->spec==VhdlDocGen::ARCHITECTURE ||
10427 current->spec==VhdlDocGen::PACKAGE_BODY)
10428 {
10429 current_root->addSubEntry(current);
10430 }
10431 else
10432 {
10433 if (lastCompound)
10434 {
10435 lastCompound->addSubEntry(current);
10436 }
10437 else
10438 {
10439 if (lastEntity)
10440 {
10441lastEntity->addSubEntry(current);
10442 }
10443 else
10444 {
10445current_root->addSubEntry(current); // should not happen!
10446 }
10447 }
10448 }
10449 previous = current;
10450 current = new Entry ;
10451 initEntry(current);
10452}
10453
10454static void handleCommentBlock(const QCString &doc,bool brief)
10455{
10456 int position=0;
10457 bool needsEntry=FALSE;
10458 Protection protection=Public;
10459 int lineNr = iDocLine;
10460 if (brief)
10461 current->briefLine = iDocLine;
10462 else
10463 current->docLine = iDocLine;
10464
10465 //printf("parseCommentBlock %p [%s]\n",current,doc.data());
10466 while (parseCommentBlock(
10467g_thisParser,
10468current,
10469doc, // text
10470yyFileName, // file
10471lineNr, // line of block start
10472brief,
10473docBlockAutoBrief,
10474FALSE,
10475protection,
10476 position,
10477 needsEntry
10478 )
10479 )
10480 {
10481 //printf("parseCommentBlock position=%d [%s]\n",position,doc.data()+position);
10482 if (needsEntry) newEntry();
10483 }
10484 if (needsEntry)
10485 {
10486 newEntry();
10487 }
10488
10489 if (docBlockTerm)
10490 {
10491 unput(docBlockTerm);
10492 docBlockTerm=0;
10493 }
10494 iDocLine=-1;
10495}
10496
10497#if 0
10498/*!
10499 * adds grouping to the entries
10500 */
10501static void mergeGrouping(const Entry* ce,int)
10502{
10503 EntryListIterator eli(*ce->children());
10504 Entry *rt;
10505 for (;(rt=eli.current());++eli)
10506 {
10507 if (rt->section==Entry::GROUPDOC_SEC)
10508 {
10509 if (openGroups)
10510 {
10511QCString tt=(QCString)qrl.last();
10512if (!tt.isEmpty())
10513{
10514 rt->groups->append(new Grouping(tt.data(),Grouping::GROUPING_LOWEST));
10515}
10516 }
10517 qrl.append(rt->name);
10518 }
10519
10520 if ((strcmp(rt->name.data(),"endgroup")==0) && !qrl.isEmpty())
10521 {
10522 qrl.remove((QCString)qrl.last());
10523 openGroups--;
10524 }
10525
10526 if ((strcmp(rt->name.data(),"startgroup")==0))
10527 {
10528 openGroups++;
10529 }
10530
10531 if (rt->section!=Entry::GROUPDOC_SEC && openGroups && !qrl.isEmpty())
10532 {
10533 rt->groups->append(new Grouping(qrl.last().data(),Grouping::GROUPING_LOWEST));
10534 }
10535
10536 mergeGrouping(rt,openGroups);
10537 }
10538}
10539#endif
10540
10541/*
10542 * adds the library|use statements to the next class (entity|package|architecture|package body
10543 * library ieee
10544 * entity xxx
10545 * .....
10546 * library
10547 * package
10548 * enity zzz
10549 * .....
10550 * and so on..
10551 */
10552
10553static void mapLibPackage(const Entry* ce)
10554{
10555 Entry *lastComp=0;
10556 while (TRUE)
10557 {
10558 bool found = FALSE;
10559 Entry *rt=0;
10560 //const QList<Entry> *epp=ce->children();
10561 EntryListIterator eli(*ce->children());
10562 EntryListIterator eli1=eli;
10563 for (;(rt=eli.current()),eli1=eli;++eli)
10564 {
10565 if (rt->spec==VhdlDocGen::LIBRARY || rt->spec==VhdlDocGen::USE)
10566 // top level library or use statement
10567 {
10568Entry *temp=0;
10569for (;(temp=eli1.current());++eli1) // find next entity
10570{
10571 if (temp->spec==VhdlDocGen::ENTITY || temp->spec==VhdlDocGen::PACKAGE || temp->spec==VhdlDocGen::ARCHITECTURE || temp->spec==VhdlDocGen::PACKAGE_BODY)
10572 {
10573 Entry *ee=new Entry(*rt); //append a copy to entries sublist
10574 temp->addSubEntry(ee);
10575 found=TRUE;
10576 rt->spec=-1; //nullify entry
10577 rt->section=0;
10578 lastComp=temp;
10579 break;
10580 }
10581}//for
10582if (lastComp && rt->spec!=-1)
10583{
10584 Entry *ee=new Entry(*rt); //append a copy to entries sublist
10585 lastComp->addSubEntry(ee);
10586 found=TRUE;
10587 rt->spec=-1; //nullify entry
10588 rt->section=0;
10589}
10590 }//if
10591 }//for
10592 if (!found) // nothing left to do
10593 {
10594 return;
10595 }
10596 }//while
10597}//MapLib
10598
10599#if 0
10600/*!
10601 * merges a brief descriptions to the next entry
10602 */
10603void mergeBrief(const Entry* ce)
10604{
10605 EntryListIterator eli(*ce->children());
10606 Entry *rt;
10607 for (;(rt=eli.current());++eli)
10608 {
10609
10610 if (found && (!eMerge.brief.isEmpty() || !eMerge.doc.isEmpty()))
10611 {
10612 rt->doc+=eMerge.doc.data();
10613 rt->docLine=eMerge.docLine;
10614 rt->brief+=eMerge.brief.data();
10615 rt->briefLine=eMerge.briefLine;
10616 found=FALSE;
10617 }
10618
10619 if ((strcmp(rt->name.data(),"string")==0))
10620 {
10621 eMerge.reset();
10622 eMerge.doc+=rt->doc.data();
10623 eMerge.docLine=rt->docLine;
10624 eMerge.brief+=rt->brief.data();
10625 eMerge.briefLine=rt->briefLine;
10626
10627 found=TRUE;
10628 }
10629 MergeBrief(rt);
10630 }
10631}
10632#endif
10633
10634
10635
10636void vhdlscanFreeScanner()
10637{
10638#if defined(YY_FLEX_SUBMINOR_VERSION)
10639 if (g_lexInit)
10640 {
10641 vhdlscanYYlex_destroy();
10642 }
10643
10644 if (g_buf)
10645 {
10646 free(g_buf);
10647 }
10648
10649 g_buf=0;
10650#endif
10651
10652}
10653
10654void VHDLLanguageScanner::parseInput(const char *fileName,const char *fileBuf,Entry *root)
10655{
10656 inputFile.setName(fileName);
10657 //uint jfile=inputFile.size();
10658 ::parserInit();
10659 yyFileName=QCString(fileName);
10660 groupEnterFile(fileName,yyLineNr);
10661 g_thisParser = this;
10662 g_inputFromFile = FALSE;
10663 inputPosition = 0;
10664 assert(root!=0);
10665
10666 inputString=fileBuf;
10667 inputLen=strlen(fileBuf);
10668 current_root = root;
10669 global_root = root;
10670 current=new Entry;
10671 initEntry(current);
10672 //current_root->name=QCString("XXX"); // dummy name for root
10673 if (!inputFile.open(IO_ReadOnly))
10674 {
10675 err("\n\n could not open file: %s !!\n\n",yyFileName.data());
10676 return ;
10677 }
10678
10679 if (g_lexInit)
10680 {
10681 vhdlscanYYrestart(vhdlscanYYin);
10682 unput(' ');
10683 BEGIN(Start);
10684 }
10685 vhdlscanYYlex();
10686 g_lexInit=TRUE;
10687
10688 free(g_buf);
10689 g_buf=0;
10690
10691 delete current;
10692 current=0;
10693
10694 groupLeaveFile(yyFileName,yyLineNr);
10695 inputFile.close();
10696
10697 //mergeBrief(current_root);
10698 //mergeGrouping(current_root,0);
10699 mapLibPackage(current_root);
10700}
10701
10702
10703void VHDLLanguageScanner::parsePrototype(const char *text)
10704{
10705 // will be called when a \fn command is found in a comment block
10706
10707 QCString ss,ret;
10708 bool sem=FALSE;
10709 bool func=FALSE;
10710 QList<Argument> qs;
10711 qs.setAutoDelete(TRUE);
10712 VhdlDocGen::parseFuncProto(text,qs,ss,ret,TRUE);
10713 int count=qs.count();
10714 if (stricmp(ret.data(),"function")==0)
10715 {
10716 func=TRUE;
10717 }
10718 if (count<1 && !func)
10719 {
10720 return;
10721 }
10722 Entry *pp = new Entry;
10723 initEntry(pp);
10724 pp->name=ss.stripWhiteSpace();
10725 pp->args+='(';
10726 for (int j=0;j<count;j++)
10727 {
10728 if (sem)
10729 {
10730 pp->args+=',';
10731 }
10732
10733 Argument *ars=(Argument*)(qs.at(j));
10734 Argument *arg=new Argument;
10735 arg->attrib = ars->attrib;
10736 arg->name = ars->name;
10737 arg->type = ars->type;
10738 pp->args+=ars->name.data();
10739 pp->args+=" ";
10740 pp->args+=ars->type.data();
10741 pp->argList->append(arg);
10742 sem=TRUE;
10743 }
10744 pp->args+=')';
10745
10746 if (!ret.isEmpty())
10747 pp->spec=VhdlDocGen::FUNCTION;
10748 else
10749 pp->spec=VhdlDocGen::PROCEDURE;
10750
10751 if (pp->section == Entry::MEMBERDOC_SEC && pp->args.isEmpty())
10752 pp->section = Entry::VARIABLEDOC_SEC;
10753
10754 pp->type=ret;
10755 current_root->addSubEntry(pp);
10756}
10757
10758void VHDLLanguageScanner::parseCode(CodeOutputInterface &codeOutIntf,
10759 const char *scopeName,
10760 const QCString &input,
10761 bool isExampleBlock,
10762 const char *exampleName,
10763 FileDef *fileDef,
10764 int startLine,
10765 int endLine,
10766 bool inlineFragment,
10767 MemberDef *memberDef,
10768 bool showLineNumbers
10769 )
10770{
10771 ::parseVhdlCode(codeOutIntf,scopeName,input,isExampleBlock,exampleName,
10772 fileDef,startLine,endLine,inlineFragment,memberDef,
10773 showLineNumbers);
10774}
10775
10776
10777

Archive Download this file

Revision: 1322